九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

EDA課程設(shè)計報告 交通燈控制與顯示電路

上傳人:門**** 文檔編號:107935043 上傳時間:2022-06-15 格式:DOC 頁數(shù):12 大?。?.94MB
收藏 版權(quán)申訴 舉報 下載
EDA課程設(shè)計報告 交通燈控制與顯示電路_第1頁
第1頁 / 共12頁
EDA課程設(shè)計報告 交通燈控制與顯示電路_第2頁
第2頁 / 共12頁
EDA課程設(shè)計報告 交通燈控制與顯示電路_第3頁
第3頁 / 共12頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《EDA課程設(shè)計報告 交通燈控制與顯示電路》由會員分享,可在線閱讀,更多相關(guān)《EDA課程設(shè)計報告 交通燈控制與顯示電路(12頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、EDA課程設(shè)計報告指導(dǎo)教師: XXX班 級 : 08電信(1)班姓 名 : XXX組 成 員 : XXXXXXXXXXXXXXXXXXXXXXXXXXX報告目錄1、 EDA技術(shù)綜述2、 設(shè)計實踐報告1) 課題名稱2) 內(nèi)容摘要3) 設(shè)計內(nèi)容及要求4) 設(shè)計方案及框圖5) 仿真電路設(shè)計6) 仿真調(diào)試過程及結(jié)果記錄7) 相關(guān)元器件參考數(shù)據(jù)資料8) 設(shè)計的收獲、體會和建議參考文獻第一部分 :EDA 技術(shù)綜述隨著微電子技術(shù)和計算機技術(shù)的不斷發(fā)展,在涉及通信、國防、航天、工業(yè)自動化、儀器儀表等領(lǐng)域工作中,技術(shù)的含量以驚人的速度上升,從而使它成為當(dāng)今電子技術(shù)發(fā)展的前沿之一。從目前的EDA技術(shù)來看,其發(fā)展趨

2、勢是政府重視、使用普及、應(yīng)用文泛、工具多樣、軟件功能強大。ESDA代表了當(dāng)今電子設(shè)計技術(shù)的最新發(fā)展方向,它的基本特征是:設(shè)計人員按照自頂 向下的設(shè)計方法,對整個系統(tǒng)進行方案設(shè)計和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成 電路(ASIC)實現(xiàn),然后采用硬件描述語言(HDL)完成系統(tǒng)行為級設(shè)計,最后通過綜合器和適配 器生成最終的目標(biāo)器件。這樣的設(shè)計方法被稱為高層次的電子設(shè)計方法?;仡櫧?0年電子設(shè)計技術(shù)的發(fā)展歷程,可將EDA技術(shù)分為三個階段。 (1) 七十年代為CAD階段,這一階段人們開始用計算機輔助進行IC版圖編輯和PCB布局布 線,取代了手工操作,產(chǎn)生了計算機輔助設(shè)計的概念。 (2)八十年

3、代為CAE階段,與CAD相比,除了純粹的圖形繪制功能外,又增加了電路功能設(shè) 計和結(jié)構(gòu)設(shè)計,并且通過電氣連接網(wǎng)絡(luò)表將兩者結(jié)合在一起,以實現(xiàn)工程設(shè)計,這就是計算機輔助 工程的概念。CAE的主要功能是:原理圖輸入,邏輯仿真,電路分析,自動布局布線,PCB后分 析。 (3)九十年代為ESDA階段。盡管CAD/CAE技術(shù)取得了巨大的成功,但并沒有把人從繁重的 設(shè)計工作中徹底解放出來。在整個設(shè)計過程中,自動化和智能化程度還不高,各種EDA軟件界面千 差萬別,學(xué)習(xí)使用困難,并且互不兼容,直接影響到設(shè)計環(huán)節(jié)間的銜接。基于以上不足,人們開始 追求貫徹整個設(shè)計過程的自動化,這就是ESDA即電子系統(tǒng)設(shè)計自動化。 在

4、信息通信領(lǐng)域,要優(yōu)先發(fā)展高速寬帶信息網(wǎng)、深亞微米集成電路、新型元器件、計算機及軟件技術(shù)、第三代移動通信技術(shù)、信息管理、信息安全技術(shù),積極開拓以數(shù)字技術(shù)、網(wǎng)絡(luò)技術(shù)為基礎(chǔ)的新一代信息產(chǎn)品,發(fā)展新興產(chǎn)業(yè),培育新的經(jīng)濟增長點。要大力推進制造業(yè)信息化,積極開展計算機輔助設(shè)計(CAD)、計算機輔助工程(CAE)、計算機輔助工藝(CAPP)、計算機機輔助制造(CAM)、產(chǎn)品數(shù)據(jù)管理(PDM)、制造資源計劃(MRPII)及企業(yè)資源管理(ERP)等。有條件的企業(yè)可開展“網(wǎng)絡(luò)制造”,便于合作設(shè)計、合作制造,參與國內(nèi)和國際競爭。開展“數(shù)控化”工程和“數(shù)字化”工程。自動化儀表的技術(shù)發(fā)展趨勢的測試技術(shù)、控制技術(shù)與計算機

5、技術(shù)、通信技術(shù)進一步融合,形成測量、控制、通信與計算機(M3C)結(jié)構(gòu)。在ASIC和PLD設(shè)計方面,向超高速、高密度、低功耗、低電壓方向發(fā)展。外設(shè)技術(shù)與EDA工程相結(jié)合的市場前景看好,如組合超大屏幕的相關(guān)連接,多屏幕技術(shù)也有所發(fā)展。在EDA軟件開發(fā)方面,目前主要集中在美國。但各國也正在努力開發(fā)相應(yīng)的工具。日本、韓國都有ASIC設(shè)計工具,但不對外開放 。中國華大集成電路設(shè)計中心,也提供IC設(shè)計軟件,但性能不是很強。相信在不久的將來會有更多更好的設(shè)計工具有各地開花并結(jié)果。據(jù)最新統(tǒng)計顯示,中國和印度正在成為電子設(shè)計自動化領(lǐng)域發(fā)展最快的兩個市場,年復(fù)合增長率分別達到了50%和30%。ESDA技術(shù)的基本特

6、征 ESDA代表了當(dāng)今電子設(shè)計技術(shù)的最新發(fā)展方向,它的基本特征是:設(shè)計人員按照自頂 向下的設(shè)計方法,對整個系統(tǒng)進行方案設(shè)計和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成 電路(ASIC)實現(xiàn),然后采用硬件描述語言(HDL)完成系統(tǒng)行為級設(shè)計,最后通過綜合器和適配 器生成最終的目標(biāo)器件。這樣的設(shè)計方法被稱為高層次的電子設(shè)計方法,具體流程還將在4.2節(jié)中 做深入介紹。下面介紹與ESDA基本特征有關(guān)的幾個概念。 自頂向下的設(shè)計方法 10年前,電子設(shè)計的基本思路還是選擇標(biāo)準(zhǔn)集成電路自底向上(Bottom-Up)地構(gòu) 造出一個新的系統(tǒng),這樣的設(shè)計方法就如同一磚一瓦地建造金字塔,不僅效率低、成本高而且 還

7、容 易出錯。 高層次設(shè)計給我們提供了一種自頂向下(Top-Down)的全新的設(shè)計方法,這種設(shè)計 方法首先從系統(tǒng)設(shè)計入手,在頂層進行功能方框圖的劃分和結(jié)構(gòu)設(shè)計。在方框圖一級進行仿真、糾 錯,并用硬件描述語言對高層次的系統(tǒng)行為進行描述,在系統(tǒng)一級進行驗證。然后用綜合優(yōu)化工具 生成具體門電路的網(wǎng)表,其對應(yīng)的物理實現(xiàn)級可以是印刷電路板或?qū)S眉呻娐?。由于設(shè)計的主要 仿真和調(diào)試過程是在高層次上完成的,這不僅有利于早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計上的錯誤,避免設(shè)計工作的 浪費,而且也減少了邏輯功能仿真的工作量,提高了設(shè)計的一次成功率。 ASIC設(shè)計 現(xiàn)代電子產(chǎn)品的復(fù)雜度日益加深,一個電子系統(tǒng)可能由數(shù)萬個中小規(guī)模集成電路構(gòu)

8、 成,這就帶來了體積大、功耗大、可靠性差的問題,解決這一問題的有效方法就是采用ASIC (Application Specific Integrated Circuits)芯片進行設(shè)計。ASIC按照設(shè)計方法的不同可分為:全定制ASIC,半定制ASIC,可編程ASIC(也稱為可編程邏輯器件)。 設(shè)計全定制ASIC芯片時,設(shè)計師要定義芯片上所有晶體管的幾何圖形和工藝規(guī)則,最 后將設(shè)計結(jié)果交由IC廠家掩膜制造完成。優(yōu)點是:芯片可以獲得最優(yōu)的性能,即面積利用率高、速度快、功耗低。缺點是:開發(fā)周期長,費用高,只適合大批量產(chǎn)品開發(fā)。 半定制ASIC芯片的版圖設(shè)計方法有所不同,分為門陣列設(shè)計法和標(biāo)準(zhǔn)單元設(shè)計

9、法,這 兩種方法都是約束性的設(shè)計方法,其主要目的就是簡化設(shè)計,以犧牲芯片性能為代價來縮短開發(fā)時間。 可編程邏輯芯片與上述掩膜ASIC的不同之處在于:設(shè)計人員完成版圖設(shè)計后,在實驗 室內(nèi)就可以燒制出自己的芯片,無須IC廠家的參與,大大縮短了開發(fā)周期。 可編程邏輯器件自七十年代以來,經(jīng)歷了PAL、GAL、CPLD、FPGA幾個發(fā)展階段,其中 CPLD/FPGA屬高密度可編程邏輯器件,目前集成度已高達200萬門/片,它將掩膜ASIC集成度高的 優(yōu)點和可編程邏輯器件設(shè)計生產(chǎn)方便的特點結(jié)合在一起,特別適合于樣品研制或小批量產(chǎn)品開發(fā),使產(chǎn)品能以最快的速度上市,而當(dāng)市場擴大時,它可以很容易的轉(zhuǎn)由掩膜ASIC

10、實現(xiàn),因此開發(fā)風(fēng) 險也大為降低。 EDA技術(shù)發(fā)展迅猛,完全可以用日新月異來描述。EDA技術(shù)的應(yīng)用廣泛,現(xiàn)在已涉及到各行各業(yè)。EDA水平不斷提高,設(shè)計工具趨于完美的地步。Proteus 軟件 是英國Labcenter electronics公司出版的EDA 工具軟件 (該軟件 中國 總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。它是目前最好的仿真單片機及外圍器件的工具。雖然目前國內(nèi)推廣剛起步,但已受到單片機愛好者、從事單片機教學(xué)的教師、致力于單片機開發(fā)應(yīng)用的科技工作者的青睞。Proteus是世界上著名的EDA工具( 仿真軟件 ),從原理圖布

11、圖、代碼調(diào)試到單片機與外圍電路協(xié)同仿真,一鍵切換到PCB設(shè)計,真正實現(xiàn)了從概念到產(chǎn)品的完整設(shè)計。是目前世界上唯一將電路仿真軟件、PCB設(shè)計軟件和虛擬模型仿真軟件三合一的設(shè)計平臺,其處理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即將增加Cortex和DSP系列處理器,并持續(xù)增加其他系列處理器模型。在編譯方面,它也支持IAR、Keil和MPLAB等多種 編譯器 。其功能特點Proteus軟件具有其它EDA工具軟件(例:multisim)的功能。這些功能是:(1)原理布圖(2)PCB自動或人工布線(3)

12、SPICE電路仿真革命性的特點(1)互動的電路仿真用戶甚至可以實時采用諸如RAM,ROM,鍵盤,馬達,LED,LCD,AD/DA,部分SPI器件,部分IIC器件。(2)仿真處理器及其外圍電路可以仿真51系列、AVR、PIC、ARM、等常用主流單片機。還可以直接在基于原理圖的虛擬原型上編程,再配合顯示及輸出,能看到運行后輸入輸出的效果。配合 系統(tǒng)配置 的虛擬邏輯分析儀、示波器等,Proteus建立了完備的電子設(shè)計開發(fā)環(huán)境。第二部分 :設(shè)計實踐報告1) 課題名稱 :交通燈控制與顯示電路2) 內(nèi)容摘要 :本設(shè)計為一個擁有特殊狀態(tài)控制及倒計時顯示的交通燈控制顯示系統(tǒng),目前,全國大中城市普遍存在著道路擁

13、擠、車輛堵塞、交通秩序混亂的現(xiàn)象,交通事故頻發(fā),這給人民的生命財產(chǎn)安全帶來了極大的損失。城市交通控制系統(tǒng)(UTC ,Urban Traffic Control System)是現(xiàn)代城市智能交通系統(tǒng)(IDJ ,Intelligent transport system)的組成之一,主要用于城市道路交通的控制與管理。城市平交路口實現(xiàn)交通信號控制是城市交通管理現(xiàn)代化的基本標(biāo)志之一,是提高交通管理效能的重要技術(shù)手段。路口信號控制器是控制交叉路口交通信號的設(shè)備,它是交通信號控制的重要組成部分。各種交通控制方案,最終都要由路口信號控制器來實現(xiàn)。為了確保十字路口的行人和車輛順利、暢通地通過,往往采用電子控制的

14、交通信號來進行指揮。伴隨著社會的發(fā)展以及人類生活水平的提高,汽車的數(shù)量在不斷增加,EDA技術(shù)的發(fā)展和應(yīng)用領(lǐng)域也在不斷的擴大與深入,機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個領(lǐng)域的重要性日益突出。利用EDA技術(shù)設(shè)計交通燈來完成這個需求就顯的更加迫切,同樣也是非常的實用和合理。關(guān)鍵詞:LED燈、數(shù)碼管、555、74ls164、74ls1923) 設(shè)計內(nèi)容及要求 :通過分析可以知道,所要設(shè)計的交通信號燈控制電路要能夠適用于南北干道和東西干道的匯合點形成的十字交叉路口。能夠做到在綠燈跳變紅燈的過程中能夠用黃燈進行過渡,使得行駛過程中的車輛有足夠的時間停下來。還要求設(shè)立一組計時顯示器

15、,能夠顯示相應(yīng)的紅、黃、綠倒計時具體要求如下:(1)將狀態(tài)信號譯碼為東西、南北方向6對交通燈的控制信號,實現(xiàn)正常時序控制功能;(2)特殊狀態(tài)期間,東西、南北兩個方向的紅燈同時發(fā)亮,實現(xiàn)特殊狀態(tài)控制功能; (3)東西方向、南北方向的燈亮?xí)r間分別用數(shù)碼管顯示; (4)掌握十字路口交通燈控制的設(shè)計原理,進一步對所學(xué)的EDA知識進行掌握與實際應(yīng)用,熟悉電子設(shè)計自動化(EDA)的相關(guān)技術(shù)、掌握計算機輔助電子線路設(shè)計的基本方法。(5)鍛煉自己獲取信息的能力,以及能夠獨立自主的思考和解決問題的能力。4) 設(shè)計方案、系統(tǒng)框圖 :系統(tǒng)采用純數(shù)電模電原理設(shè)計,采用分立元件組成。由8位移位寄存器74ls164構(gòu)成交

16、通燈核心控制電路及雙時鐘方式的十進制可逆計數(shù)器74LS192構(gòu)成的顯示譯碼電路。東西南北各個方向的紅綠燈時間為30秒,黃燈時間為5秒,用七段數(shù)碼管配以譯碼驅(qū)動電路完成顯示任務(wù)。時鐘由555時基芯片產(chǎn)生穩(wěn)定的5VTTL電平1Hz時鐘給顯示電路使用,1Hz時鐘經(jīng)74LS90五分頻后得到0.2Hz信號供給控制部分74ls164得到紅燈時間為30秒,黃燈為5秒。當(dāng)需要特殊狀態(tài)時,由控制開關(guān)控制電路,使特殊狀態(tài)時所有方向為紅燈顯示,同時倒計時顯示為零的特殊狀態(tài)顯示系統(tǒng)框圖如圖所示: LED顯示 掃描顯示譯碼模塊計時模塊 交通燈控制模塊時鐘分頻模塊 CLK5) 仿真電路設(shè)計 :仿真平臺采用proteus軟

17、件仿真圖如圖所示:PROTEL99se原理圖及PCB圖:6) 仿真調(diào)試過程及結(jié)果記錄 :搭建電路如圖所示,多次調(diào)整相關(guān)參數(shù)后運行后如圖所示:7) 相關(guān)元器件參考數(shù)據(jù)資料四2輸入與非門00 為四組 2 輸入端與非門(正邏輯),共有 54/7400、54/74H00、54/74S00、54/74LS00引出端符號 1A4A,1B4B 輸入端 1Y4Y 輸出端三3輸入與非門10 為三組3 輸入端與非門(正邏輯),共有54/7410、54/74H10、54/74S10、54/74LS10引出端符號 1A3A 輸入端1B3B 輸入端1C3C 輸入端1Y4Y 輸出端8 位移位寄存器(串行輸入,并行輸出)7

18、4LS164 當(dāng)清除端(CLEAR)為低電平時,輸出端(QAQH)均為低電平。串行數(shù)據(jù)輸入端(A,B)可控制數(shù)據(jù)。當(dāng)A、B 任意一個為低電平,則禁止新數(shù)據(jù)輸入,在時鐘端(CLOCK)脈沖上升沿作用下Q0 為低電平。當(dāng)A、B 有一個為高電平,則另一個就允許輸入數(shù)據(jù),并在CLOCK 上升沿作用下決定Q0 的狀態(tài)74LS192為 可 預(yù) 置 的 十 進 制 同 步 加 / 減 計 數(shù) 器 , 共 有 54192/74192,54LS192/74LS192 兩種線路結(jié)構(gòu)形式。192 的清除端是異步的。192 的預(yù)置是異步的。192 的計數(shù)是同步的。引出端符號 TC D 錯位輸出端(低電平有效) TC

19、U 進位輸出端(低電平有效) CPD 減計數(shù)時鐘輸入端(上升沿有效) CPU 加計數(shù)時鐘輸入端(上升沿有效) MR 異步清除端 P0P3 并行數(shù)據(jù)輸入端 PL 異步并行置入控制端(低電平有效) Q0Q3 輸出端555 定時器是一種模擬和數(shù)字功能相結(jié)合的中規(guī)模集成器件。一般用雙極性工藝制作的稱為 555,用 CMOS 工藝制作的稱為 7555,除單定時器外,還有對應(yīng)的雙定時器 556/7556。555 定時器的電源電壓范圍寬,可在 4.5V16V 工作,7555 可在 318V 工作,輸出驅(qū)動電流約為 200mA,因而其輸出可與 TTL、CMOS 或者模擬電路電平兼容。四2輸入或門32 為四組

20、2 輸入端或門(正邏輯),共有 54/7432、54/74S32、54/74LS32 三種線路 結(jié)構(gòu)型式8) 寫出課程設(shè)計的收獲、體會和建議等 :通過這次的課程設(shè)計,我真的學(xué)會了好多,加深了對EDA的了解,熟悉了EDA工具,并進一步熟練了對protel99se、proteus、multisim等軟件的操作。在做本次課程設(shè)計的過程中,遇到了很多問題,使我發(fā)現(xiàn)自己以前學(xué)習(xí)上存在的不足。并加深了對交通燈原理和設(shè)計思路的了解。同時也掌握了做課程設(shè)計的一般流程,為以后的設(shè)計積累了一定的經(jīng)驗。做課程設(shè)計時,先查閱相關(guān)知識,了解交通燈的原理和一些常規(guī)功能,把原理吃透,確定一個大的設(shè)計方向,構(gòu)思出大體設(shè)計方案

21、,并多做打算,選出幾個比較合理的方案,經(jīng)過小組討論,查閱資料等,最終確定下一個方案,在按照這個方向分模塊的把要實現(xiàn)的功能用流程圖的形式展示,然后根據(jù)相應(yīng)的功能選擇相應(yīng)器件,運用數(shù)電知識,列出邏輯函數(shù)真值表等,實現(xiàn)相應(yīng)的邏輯功能,按照設(shè)計原理布線,仿真。由于本次設(shè)計采用純數(shù)電方式,所以對于擅長單片機的我來說難度較大,經(jīng)過多次設(shè)計仿真論證,多次的失敗過后終于完成了原理圖的繪制和成功仿真。數(shù)字化時代的到來給人們的生活帶來了極大的改變,有理由相信隨著數(shù)字化的深入,交通燈控制器的功能將日趨完善。作為組長的我不僅在EDA方面有所提高,還在管理協(xié)調(diào)方面加強了鍛煉,認(rèn)識到同學(xué)們的實踐水平普遍不高,在實際操作中遇到許多可以避免的問題,水平有待于提高,但經(jīng)過這種實際動手的設(shè)計過后,提高了自己的解決實際問題的能力,團結(jié)協(xié)作能力,又是一次很好的鍛煉機會參考文獻:1、Proteus電子線路設(shè)計、制作與仿真清華大學(xué)出版社2、Protel99se電路設(shè)計技術(shù)入門與應(yīng)用電子工業(yè)出版社3、數(shù)字電子技術(shù)基礎(chǔ)第五版 清華大學(xué)出版社4、模擬電子技術(shù)基礎(chǔ)第四版 清華大學(xué)出版社5、Multisim 8仿真與應(yīng)用實例開發(fā)清華大學(xué)出版社

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!