九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

DDS 直接數(shù)字頻率合成器實驗報告(DOC)

上傳人:siy****11 文檔編號:114632765 上傳時間:2022-06-29 格式:DOCX 頁數(shù):30 大?。?.76MB
收藏 版權(quán)申訴 舉報 下載
DDS 直接數(shù)字頻率合成器實驗報告(DOC)_第1頁
第1頁 / 共30頁
DDS 直接數(shù)字頻率合成器實驗報告(DOC)_第2頁
第2頁 / 共30頁
DDS 直接數(shù)字頻率合成器實驗報告(DOC)_第3頁
第3頁 / 共30頁

本資源只提供3頁預(yù)覽,全部文檔請下載后查看!喜歡就下載吧,查找使用更方便

15 積分

下載資源

資源描述:

《DDS 直接數(shù)字頻率合成器實驗報告(DOC)》由會員分享,可在線閱讀,更多相關(guān)《DDS 直接數(shù)字頻率合成器實驗報告(DOC)(30頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、直接數(shù)字頻率合成器(DDS)實驗報告課程名稱電類綜合實驗實驗名稱直接數(shù)字頻率合成器設(shè)計實驗日期2015.6.12013.6.4學(xué)生專業(yè)測試計量技術(shù)及儀器學(xué)生學(xué)號114101002268學(xué)生姓名陳靜實驗室名稱基礎(chǔ)實驗樓237教師姓名花漢兵成績5摘要直接數(shù)字頻率合成器(DirectDigitalFrequencySynthesizer簡稱DDFS或DDS)是一種基于全數(shù)字技術(shù),從相位概念出發(fā)直接合成所需波形的一種頻率合成技術(shù)。本篇報告主要介紹設(shè)計完成直接數(shù)字頻率合成器DDS的過程。其輸出頻率及相位均可控制,且能輸出正弦波、余弦波、方波、鋸齒波等五種波形,經(jīng)過轉(zhuǎn)換后在示波器上顯示。經(jīng)控制能夠?qū)崿F(xiàn)保持

2、、清零功能。除此之外,還能同時顯示出頻率控制字、相位控制字和輸出頻率的值。實驗要求分析整個電路的工作原理,并分別說明了各子模塊的設(shè)計原理,依據(jù)各模塊之間的邏輯關(guān)系,將各電路整合到一塊,形成一個總體電路。本實驗在QuartusII環(huán)境下進(jìn)行設(shè)計,并下載到SmartSOPC實驗系統(tǒng)中進(jìn)行硬件測試。最終對實驗結(jié)果進(jìn)行分析并總結(jié)出在實驗過程中出現(xiàn)的問題以及提出解決方案。關(guān)鍵詞:QuartusII直接數(shù)字頻率合成器波形頻率相位調(diào)節(jié)AbstractTheDirectDigitalFrequencySynthesizerisatechnologybasedonfullydigitaltechnique,af

3、requencycombinationtechniquesynthesesarequiredwaveformfromconceptofphase.ThisreportintroducesthedesigntothecompletionoftheprocessofdirectdigitalfrequencysynthesizerDDS.Theoutputfrequencyandphasecanbecontrolled,andcanoutputsine,cosine,trianglewave,squarewave,sawtoothwave,whicharedisplayedontheoscillo

4、scopeafterconversation.Canbeachievedbythecontroltomaintainclearfunction.Furthercansimultaneouslydisplaythevalueofthefrequency,thephasecontrolwordandtheoutputfrequency.TheexperimentaldesignintheQuartusIIenvironment,thelasthardwaretestdownloadtoSmartSOPCexperimentalsystem.Thefinalresultswillbeanalyzed

5、,thematterwillbeputforwardandthesettlingplancanbegivenatlast.Keywords:QuartusIIDirectDigitalFrequencySynthesizerwaveformFrequencyandphaseadjustment目錄一、設(shè)計內(nèi)容4二、設(shè)計原理42.1 DDS概念42.2 DDS的組成及工作原理4三、設(shè)計要求63.1基本要求63.2提高要求6四、設(shè)計內(nèi)容64.1分頻電路64.2頻率預(yù)置與調(diào)節(jié)電路104.3累加器124.4波形存儲器(ROM)134.5測頻電路194.6譯碼顯示電路214.7消顫電路224.8總電路2

6、3五、電路調(diào)試仿真與程序下載24六、示波器波形圖25七、實驗中遇到的問題及解決方法25八、電路改進(jìn)26九、實驗感想28十、參考文獻(xiàn)28一、設(shè)計內(nèi)容設(shè)計一個頻率及相位均可控制的具有正弦和余弦輸出的直接數(shù)字頻率合成器(DirectDigitalFrequencySynthesizer簡稱DDFS或DDS)。二、設(shè)計原理2.1 DDS概念直接數(shù)字頻率合成器(DirectDigitalFrequencySynthesizer簡稱DDFS或DDS)是一種基于全數(shù)字技術(shù),從相位概念出發(fā)直接合成所需波形的一種頻率合成技術(shù)。2.2 DDS的組成及工作原理DDS的基本組成結(jié)構(gòu)如下圖2-1所示,其主要由頻率預(yù)置與

7、調(diào)節(jié)電路、累加器、波性存儲器(如正弦波數(shù)據(jù)表等)、D/A轉(zhuǎn)換器及低通濾波器等幾部分組成。fc圖2-1DDS整體電路工作原理圖其中相位累加器由N位加法器和N位寄存器構(gòu)成。每來一個時鐘clock,加法器就將頻率控制字f與累加寄存器輸出的累加相位數(shù)據(jù)相加,相加的結(jié)果又反饋送至累加寄control存器的數(shù)據(jù)輸入端,以使加法器在下一個時鐘脈沖的作用下繼續(xù)與頻率控制字相加。這樣,相位累加器在時鐘作用下,不斷對頻率控制字進(jìn)行線性相位累加。由此可以看出,相位累加器在每一個時鐘脈沖輸入時,把頻率控制字累加一次,相位累加器輸出的數(shù)據(jù)就是合成信號的相位,相位累加器的溢出頻率就是DDS輸出的信號頻率。用相位累加器輸出

8、的數(shù)據(jù)作為波形存儲器的相位取樣地址,這樣就可把存儲在波形存儲器內(nèi)的波形抽樣值(二進(jìn)制編碼)經(jīng)查找表查出,完成相位到幅值轉(zhuǎn)換。波形存儲器的輸出送到D/A轉(zhuǎn)換器,由D/A轉(zhuǎn)換器將數(shù)字信號轉(zhuǎn)換成模擬信號輸出,DDS信號波流程示意圖如圖2-2所示。圖2-2DDS工作流程示意圖由于相位累加器為N位,相當(dāng)于把正弦信號在相位上的精度定為N位,所以分辨率1為一。若系統(tǒng)時鐘頻率為f,頻率控制字f為1,則輸出頻率為2Nccontrolout2N這個頻率相當(dāng)于“基頻”若f為K,則輸出頻率為:controloutc2N當(dāng)系統(tǒng)輸入時鐘頻率f不變時,輸出信號的頻率由頻率控制字K所決定。由上式可c得:2NfK=fc其中,K

9、為頻率字,注意K要取整,有時會有誤差。選取ROM的地址時,可以間隔選項,相位寄存器輸出的位數(shù)D般取10-16位,這種截取方法稱為截斷式用法,以減少ROM的容量。D太大會導(dǎo)致ROM容量的成倍上升,而輸出精度受D/A位數(shù)的限制未有很大改善。圖2-3組裝模塊后的整體工作原理圖直接數(shù)字頻率合成器(DDS)實驗報告三、設(shè)計要求3.1基本要求(1) 用QuartusII軟件和SmartSOPC實驗箱實現(xiàn)DDS的設(shè)計;(2) DDS中的波形存儲器模塊用Altera公司的Cyclone系列FPGA芯片中的ROM實現(xiàn),ROM結(jié)構(gòu)配置成4096X10類型;(3) 具體參數(shù)要求:頻率控制字K取4位;基準(zhǔn)頻率fc=l

10、MHz,由實驗板上的系統(tǒng)時鐘分頻得到;(4) 系統(tǒng)具有清零和使能的功能;(5) 利用實驗箱上的D/A轉(zhuǎn)換器件將ROM輸出的數(shù)字信號轉(zhuǎn)換為模擬信號,能夠通過示波器觀察到正弦波形;(6) 通過開關(guān)(實驗箱上的Ki)輸入DDS的頻率和相位控制字,并能用示波器觀察加以驗證。3.2提高要求(1) 通過按鍵(實驗箱上的Si)輸入DDS的頻率和相位控制字,以擴(kuò)大頻率控制和相位控制的范圍;(注意:按鍵后有消顫電路)(2) 能夠同時輸出正余弦兩路正交信號;(3) 在數(shù)碼管上顯示生成的波形頻率;(4) 充分考慮ROM結(jié)構(gòu)及正弦函數(shù)的特點,進(jìn)行合理的配置,提高計算精度;(5) 設(shè)計能輸出多種波形(三角波、鋸齒波、方

11、波等)的多功能波形發(fā)生器;(6) 基于DDS的AM調(diào)制器的設(shè)計;(7) 自己添加其他功能。四、設(shè)計內(nèi)容4.1分頻電路硬件電路的內(nèi)部時鐘為48MHz,使用前必須將它分配至我們需要的0.5Hz、1Hz、2Hz、1KHz和1MHz。分頻電路由多個計數(shù)器組合而成,主要是由2分頻/3分頻/10分頻這三種基本分頻電路以不同形式組合構(gòu)成。各子分頻的輸出最好取計數(shù)器輸出的最高位,這樣分出的頻率脈沖占空比較大。下圖為總分頻電路流程圖:圖4T總分頻電路流程圖4.1.1二分頻電路二分頻電路由一個D觸發(fā)器及一個非門實現(xiàn),通過將D觸發(fā)器的Q和Q相連,在Q端即得到一連串的二分頻信號,實驗電路圖及封裝圖如下:圖4-2二分頻

12、電路圖drv2div2(i圖4-3二分頻電路封裝圖其仿真波形為:圖4-4二分頻電路的仿真波形4.1.2三分頻電路三分頻電路通過74160用置數(shù)法實現(xiàn),其輸出端QQQQ按照0000t0001t0010DCBA的方式循環(huán)計數(shù)就可以對其輸入的脈沖進(jìn)行三分頻,輸出信號由0引出。其電路圖及封B裝圖如下圖所示:hslLDNABCL40QBDQCENTQDENPRCOCLRNCLKCOUNTER-護(hù):.:.:.:.:.:.:.:3ivd2-CIVd圖4-5三分頻電路圖圖4-6三分頻電路封裝圖其仿真波形為:IT:iiTieV:ilueat15.93ns畛0div3iA1a1div3oA03ps15.925ns

13、J40.0ns80.0ns120.0ns160.0ns200.0ns240.0ns280.0ns320.0nsr圖4-7三分頻電路的仿真波形4.1.3八分頻電路八分頻電路實際上就是三個二分頻電路相串聯(lián)而成,其電路圖及封裝圖如下圖所示:30圖4-8八分頻電路圖divSinst圖4-9八分頻電路封裝圖15.93ns0div8iA1妙11100A1其仿真波形為:ps80.0ns160.0ns240.0ns320.0ils400.0ns480.0ils560.0ns640.0ns720.0ils800.0ns880.0ils960.0njiiiiiiiiiiii15.925ns1i1I11J圖4-10

14、八分頻電路的仿真波形4.1.4十分頻電路十分頻點路由一片74163和一個與非門得到,在1100時置數(shù)0011,計數(shù)器循環(huán)方式如下所示:0000T0001T0010T0011T0100T0101T0110T0111TJ1100J1011J1010J1001J1000圖4-11十分頻電路計數(shù)循環(huán)圖取最高位Q4為計數(shù)器的輸出端內(nèi)部結(jié)構(gòu)及其封裝圖如下圖所示:.芒打尹芒打芒0尹芒打/芒打尹住.div10idivlQidivlOoi纟Ifinst羞圖4-12十分頻電路圖圖4-13十分頻電路封裝圖其仿真波形為:Jps80.0ns160.0ns240.0he320.0ns400.0ns480.0he560.0

15、ns640.0ns720.0he800.0ns880.0ns960.0fiiiiiiiiiiiiN:aroe0ps3ps.0iA0.匚1口A0iiiiiii1iiiiiiiitiiiiiiiitiiiii1iiiiiiIIIIII1111111iiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiii

16、iiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiii圖4-14十分頻電路的仿真波形從波形仿真圖可以看出,10個脈沖信號為一個周期,且高低電平之比為1:1,符合要求。4.1.5千分頻電路1000分配電路實際上是三個10分頻電路相串聯(lián)得到的。其波形圖及封裝圖如下圖:圖4-151000分頻電路圖divKlOOidiv1QQOdirtst圖4-161000分頻電路封裝圖其仿真波形為:101djqrlOOOi圖4-171000分頻電路的仿真波形4.

17、1.6總分頻電路總分頻電路圖及封裝圖如圖所示:圖4-18總分頻電路圖圖4-19總分頻電路封裝圖4.2頻率預(yù)置與調(diào)節(jié)電路頻率預(yù)置與調(diào)節(jié)電路的主要作用是實現(xiàn)頻率控制量的輸入,不變量K為相位增量,Kf也叫頻率控制字。DDS的輸出頻率表達(dá)式為f=-厶,當(dāng)K=1時,DDS輸出最低頻率out2N(也即頻率分辨率)為f,而DDS的最高輸出頻率由Nyquist采樣定理決定,即f,也就是說K的最大值為2N-1。設(shè)計頻率調(diào)節(jié)模塊時,采用74160設(shè)計模32計數(shù)器來產(chǎn)生頻率控制字,1Hz信號輸入讓其變化,目的是為了使輸出頻率的調(diào)節(jié)范圍更大一些。該模塊為了節(jié)省開關(guān)以后續(xù)可控制波形選擇輸出,設(shè)有清零保持端,由開關(guān)控制,

18、以便計數(shù)到需要值時保持或清零。輸出為8位BCD碼,高四位是十位,低四位是個位。此時要在計數(shù)器后接一個碼轉(zhuǎn)換電路,將BCD碼轉(zhuǎn)換為二進(jìn)制碼,再輸入累加器進(jìn)行累加。同樣的可以設(shè)計出相位調(diào)節(jié)模塊。需要注意的是,這里的加法器是12位的,因此要將頻率控制字作為12位地址的低7位輸出,高5位賦值為0,這樣可以使頻率調(diào)節(jié)的精度更高。而相位控制字要作為12位地址的高7位輸出,低5位賦值為0,這樣可以使相位調(diào)節(jié)幅度變大。最好讓相位增量和相位控制字通過寄存器,時鐘為1MHz的系統(tǒng)時鐘,使得電路同步工作。模32電路圖、BCD碼至二進(jìn)制碼的轉(zhuǎn)換電路圖以及頻率相位同步圖如下所示:圖4-20模32計數(shù)器電路圖W二I、洱T

19、-.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.-.v.v.v.v.v.v.v.v.v.-.v.v.vJ.vTivTI.v.v.-.v-.毆s跑、J-f-|L.ABC4DY5EYEGNY7874184;GD:泊BCD-BINARYibint.iY1ABy.CY4DYSEY&GNY7YSr:2:.x74184mt-BCD-BINARY訂聽!盒圖4-21BCD碼至二進(jìn)制碼的轉(zhuǎn)換電路圖1D1Q2D2Q2D3Q4D4Q5D5Q6DSQCLRNCLK74174REGISTER1D1Q2D2Q3D3Q4D4Q5DSQ6D&QCLRNCLK74174instiREGI

20、STER君二Q二bine.O(110:Imlu:|、比匹=圖4-22頻率相位同步圖其封裝圖如圖所示:圖4-23頻率相位封裝圖圖4-24累加器流程圖4.3累加器相位累加器由12位加法器與12位寄存器級聯(lián)構(gòu)成,如下圖所示。fc每來一個時鐘脈沖,加法器就將頻率控制字K與累加寄存器輸出的累加相位數(shù)據(jù)相加,相加的結(jié)果又反饋送至累加寄存器的數(shù)據(jù)輸入端,寄存器將加法器的上一個時鐘作用后所產(chǎn)生的相位數(shù)據(jù)反饋至加法器的輸入端,以使加法器在下一個時鐘脈沖的作用下繼續(xù)與頻率控制字相加。這樣,相位累加器在時鐘作用下,不斷對頻率控制字進(jìn)行線性相位累加。當(dāng)相位累加器累加滿量時就會產(chǎn)生一次溢出,完成一個周期性的動作。累加器

21、電路圖及封裝圖如下圖所示:豈074174IDIQ2D2Q3D3Q4D4Q5D5Q6D6QCLRNCLK7s4*4心hnet3”REGISTER,y61s6lyijvs7.P-XA三二y3.V-s9/K-A=::-:J-.1mhz.-.74174ID1Q2D2Q3D3Q4D4Q5D5Q6D6QCLRNCLK.:-+:-*;-期IQ204QinstSREGISTERihz觸f“.K=emihz二ra3.0ifb3.0ifdl3.O何1記2rdO|圖4-36測頻電路圖elks&mihz呵3.匚.seraihc.cepindianluinst4圖4-37測頻電路封裝圖4.6譯碼顯示電路4.6.1譯碼顯

22、示電路原理DIGO圖4-38譯碼顯示電路原理圖DIG1DIG2DIG3DIG4DIGS顯示電路主要由數(shù)據(jù)選擇器74151、譯碼器74138、計數(shù)器、顯示譯碼器7447和數(shù)碼顯示管組成,計數(shù)器74161設(shè)計為模8的循環(huán)計數(shù)器,其輸出既作為四片74151的控制端,又作為3-8譯碼器74138的控制端。當(dāng)計數(shù)器計數(shù)到某一個數(shù)值時,四片74151同時選取對應(yīng)位的輸入組成計時器某一位的BCD編碼,接入顯示譯碼器7447,與此同時根據(jù)計數(shù)器的數(shù)值,74138譯碼器也通過數(shù)碼管的使能端選擇對應(yīng)位有效,從而在實驗箱上顯示數(shù)據(jù)。掃描的頻率為1KHz,因為人眼的視覺停留,會感覺七個數(shù)碼管同時顯示。4.6.2譯碼顯

23、示電路圖74161741617416174151O0wpipfpWABCDOD1D2D3D4D5D6D7YWNMULTIPLEXER74160fWIDOOhz.T-.i*汽二!xphi!XpM111Xfblj;=X二;::.ABCDOD1D2D3D4D5D6D7GNYWNF-.Xil2fh2Wfb2.gnd.ABCDOD1D2D3D4D5D6D7GNYWNinst2MULTIPLEXERb-Xil3:込血3:W:lb3:Id3渦ABCDOD1D2D3D4D5D6D7GNYWNph30:型3.0圖MULTIPLEXERfd3.OI6XHZ,czz3-LDNABQACQBDQCENTQDENPRC

24、OCLRNCLK:iiet.10countcr3.b74138YONAY1NBY2NICY3NG1Y4NG2ANY5NG2BNY6NY7NI1113:5DECODER遞;:T::_t$:2:::注:-3::-:777::TTT::-訊y27447AOABOBCOCDODLTNOERBINOFBINOGRBOMTBCDTO7SEG::圖4-39譯碼顯示電路圖digp.-O.圖4-40譯碼顯示電路封裝圖4.7消顫電路消顫開關(guān)由D觸發(fā)器構(gòu)成,利用D觸發(fā)器鎖存開關(guān)的動作信號,并且屏蔽抖動。消顫電路如下所示:圖4-41消顫電路圖ko5.Dl.X.Ikhz.:Xki5.菲.二圖8-1取反電路圖LPM_RO

25、M1空間里存放著的是四分之一周期的數(shù)據(jù)地址及其對應(yīng)著的數(shù)值,sinll9.O代表著的是第一個1/4周期的波形數(shù)值;sin229.O代表著的是第二個1/4周期的波形數(shù)值,其先進(jìn)行了地址取反;sin339.O代表著的是第三個1/4周期的波形數(shù)值,其進(jìn)行了數(shù)值取反的操作;sin449.O代表著的是第四個1/4周期的波形數(shù)值,其不僅地址取反而且數(shù)值也取反了。將四個1/4周期的修改后的sin波形作為LPM_ROM的輸入,ksine1.O作為選擇并輸出,最終輸出的sin9.O為四段組合后的正弦波形。!ipmornV?:inst!address9.Oclockq9lpmi_muxOqufandianlu:q

26、9.()1:!;X.Ji9-0o9.0Ipmom1Iaddress9.O小jBr229.ejcluckc-01x-xx-xsine449.O.:sire22j.O;.:data3用9.Hdat自2x【9.Hdata.1x【9.(Hdata(M9.(Hinst!iirtst12:irtstS圖8-2四片ROM存儲電路九、實驗感想本科三年級的時候我們專業(yè)學(xué)過可編程邏輯器件這門課,當(dāng)時對這門課很感興趣,初次接觸QuartusII軟件,發(fā)現(xiàn)這個軟件和MAXPlusII軟件有很多相似之處。在第一節(jié)課上,花漢兵老師花了很多時間給我們講解了QuartusII軟件的使用,還布置了本周實驗的內(nèi)容及要求,在一個星

27、期的操作、檢查和修改中,我漸漸熟悉了對QuartusI軟件的使用方法,也逐漸掌握了直接數(shù)字頻率合成器設(shè)計的原理和思路。這次實驗是我第一次獨立完成可編程邏輯器件的使用,個人覺得實驗中用原理圖來實現(xiàn)整個方案而不是用VHDL語言,可以更容易理解可編程邏輯器件的工作原理。雖然大部分開發(fā)設(shè)計工作都是使用VHDL語言,但是原理圖設(shè)計的學(xué)習(xí)卻是不可缺少的一部分。相比TI公司的MSP430系列,雖然可以很容易地用它來實現(xiàn)所需要的功能,但是卻不知道其內(nèi)部是如何工作的。這次實驗不僅僅是自己對本科所學(xué)數(shù)電知識的一次回顧,更是將所學(xué)的知識運(yùn)用到實際當(dāng)中去的一次實踐。在開始設(shè)計分頻器的初期,我就復(fù)習(xí)了例如D觸發(fā)器、74

28、160等元器件的功能和作用,回顧了二分頻電路和三分頻電路;在計數(shù)器設(shè)計部分,自己又回顧了同步計數(shù)和異步計數(shù)的差異,學(xué)會用已學(xué)器件設(shè)計不同模的計數(shù)器。這次實驗讓我對QuartusI軟件的使用更加熟練,讓我鞏固了數(shù)電的相關(guān)知識,更重要的是通過這一個多星期的學(xué)習(xí)、實驗,我在一次次失敗中總結(jié)了教訓(xùn),學(xué)會了分析和解決問題,培養(yǎng)了分析和動手能力,讓我在以后遇到其他問題時也能通過自己的努力找到答案。希望以后能有更多這種動手實驗的機(jī)會,能真正將知識與實踐相結(jié)合,在實踐中不斷培養(yǎng)自己的能力。十、參考文獻(xiàn)1 蔣立平,姜萍,譚雪琴,花漢兵數(shù)字邏輯電路與系統(tǒng)設(shè)計北京電子工業(yè)出版社2008.2 EDA設(shè)計實驗指導(dǎo)書南京理工大學(xué)電子技術(shù)中心2008.3 蔣立平數(shù)字電路南京理工大學(xué)出版社.4 周立功EDA實驗與實踐北京:北京航空航天大學(xué)

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!