九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

基于單片機(jī)的頻率計(jì)設(shè)計(jì) 單片機(jī)課程設(shè)計(jì)報(bào)告

上傳人:e****s 文檔編號:115543162 上傳時間:2022-07-02 格式:DOC 頁數(shù):21 大?。?85KB
收藏 版權(quán)申訴 舉報(bào) 下載
基于單片機(jī)的頻率計(jì)設(shè)計(jì) 單片機(jī)課程設(shè)計(jì)報(bào)告_第1頁
第1頁 / 共21頁
基于單片機(jī)的頻率計(jì)設(shè)計(jì) 單片機(jī)課程設(shè)計(jì)報(bào)告_第2頁
第2頁 / 共21頁
基于單片機(jī)的頻率計(jì)設(shè)計(jì) 單片機(jī)課程設(shè)計(jì)報(bào)告_第3頁
第3頁 / 共21頁

下載文檔到電腦,查找使用更方便

16 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《基于單片機(jī)的頻率計(jì)設(shè)計(jì) 單片機(jī)課程設(shè)計(jì)報(bào)告》由會員分享,可在線閱讀,更多相關(guān)《基于單片機(jī)的頻率計(jì)設(shè)計(jì) 單片機(jī)課程設(shè)計(jì)報(bào)告(21頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。

1、 本科學(xué)生單片機(jī)課程設(shè)計(jì)報(bào)告題 目 基于單片機(jī)的頻率計(jì)設(shè)計(jì) 姓 名 羅方佳 學(xué) 號 2007180326 院 (系) 職業(yè)技術(shù)學(xué)院 專業(yè)、年級 應(yīng)用電子技術(shù)教育 2007級 指導(dǎo)教師 楊進(jìn)寶 林海軍 課程設(shè)計(jì)成績評定表項(xiàng)目評分依據(jù)滿分得分設(shè)計(jì)作品電路板焊接工藝10功能完整20性能指標(biāo)10程序運(yùn)行可靠20人機(jī)交互友好,操作方便10設(shè)計(jì)報(bào)告內(nèi)容完整10寫作規(guī)范10設(shè)計(jì)報(bào)告篇幅符合要求10實(shí)評總分 指導(dǎo)教師簽名 目 錄1 摘要2 設(shè)計(jì)要求3 硬件電路設(shè)計(jì) 3.1 芯片介紹 3.2 設(shè)計(jì)方案 3.3 工作原理 3.4 系統(tǒng)結(jié)構(gòu)框圖4 電路原理圖5 總結(jié)參考文獻(xiàn)附件一:程序清單一、摘要頻率測量儀器使用在

2、生產(chǎn)和科研的各個部門,也是某些大型系統(tǒng)的重要組成部分,實(shí)現(xiàn)頻率測量有專用的頻率測量儀器,但不易用于特殊場合。本文介紹的用單片機(jī)實(shí)現(xiàn)的頻率測量儀,利用分頻和單片機(jī)的計(jì)數(shù)功能來實(shí)現(xiàn)頻率的測量。該頻率測量儀器可獨(dú)立使用;也可方便的嵌入電路系統(tǒng)中;并且將降低產(chǎn)品的成本,有利于新產(chǎn)品的設(shè)計(jì)與研制。頻率測量儀的設(shè)計(jì)思路主要是:對信號分頻,測量一個或幾個被測量信號周期中已知標(biāo)準(zhǔn)頻率信號的周期個數(shù),進(jìn)而測量出該信號頻率的大小。用傳統(tǒng)測頻原理制作的頻率計(jì),其測量精度往往隨被測信號頻率的下降而降低,在實(shí)際應(yīng)用過程中有很大的局限性。關(guān)鍵字:單片機(jī);頻率測量;分頻器; 計(jì)數(shù)器;定時器。二、 設(shè)計(jì)要求1、設(shè)計(jì)任務(wù) 用單

3、片機(jī)實(shí)現(xiàn)TTL或CMOS信號的頻率測量。2、設(shè)計(jì)要求1、用LED數(shù)碼管或LCD顯示頻率;100KHz;3、頻率測量精度:優(yōu)于10-4;4、頻率顯示位數(shù):5位;5、能根據(jù)輸入頻率自動換擋。3、設(shè)計(jì)報(bào)告要求1、說明設(shè)計(jì)作品的功能、特點(diǎn)、應(yīng)用范圍.2、方案對比,確定方案。3、電路設(shè)計(jì)、電路工作原理、技術(shù)指標(biāo)測試.4、編程方法、程序框圖及關(guān)鍵程序清單。5、課程設(shè)計(jì)總結(jié)。三、 硬件電路設(shè)計(jì)3.1 具體方案:方案一:1、先用555時基電路實(shí)現(xiàn)震蕩電路的功能。2、用TL081實(shí)現(xiàn)電路的整形。3、用74LS163、74LS00、74LS74、CD4052實(shí)現(xiàn)數(shù)字頻率計(jì)的分頻。4、用74LS08、74LS160

4、、74LS175、74LS47實(shí)現(xiàn)計(jì)數(shù)、譯碼、鎖存與顯示部分。方案二: 用VHDL語言編寫程序,然后下載到CPLD芯片當(dāng)中,以實(shí)現(xiàn)頻率計(jì)的功能。方案三:采用匯編語言編寫程序下載到單片機(jī)STC89C52中,利用單片機(jī)的數(shù)學(xué)運(yùn)算和控制功能,來實(shí)現(xiàn)頻率計(jì)的測量量程的自動切換以及滿足頻率的測量精度。在這里我選用的方案是三。方案一:采用分立元件做出來的作品穩(wěn)定性較差且很難調(diào)試。其實(shí)方案二和方案三都是采用編程的方法來完成的且穩(wěn)性能好但是采用方案二的成本較高加之本學(xué)期我們剛剛開設(shè)了單片機(jī)應(yīng)用原理這一課程為了進(jìn)一步鞏固本學(xué)期所學(xué)知識,我決定采用方案三來完成我的設(shè)計(jì)任務(wù)。3.2 有關(guān)芯片介紹3.21 有關(guān)STC

5、89C52單片機(jī)的介紹STC89C52是美國ATMEL公司生產(chǎn)的,兼容51系列(硬件引腳兼容,軟件也兼容),所以使用方面與51系列基本相同,支持在線下載。STC89C52是用串口下載,只一條下載線就可以。STC89C52它有如下特點(diǎn):加密性強(qiáng)、低功耗、超低價、高速、高可靠、強(qiáng)抗靜電、強(qiáng)抗干擾等。有關(guān)STC89C52的引腳說明如下:STC89C52芯片 共40引腳:1-9腳: rst復(fù)位鍵10 -11腳:RXD串口輸入 TXD串口輸出12-19腳:I/O p3接口 (12,13腳: INT0中斷0,INT1中斷1。14,15腳 : 計(jì)數(shù)脈沖T0 T1。16,17腳: WR寫控制 RD讀控制輸出端

6、)18-19腳: 晶振諧振器 20 地線21-28腳: p2 接口 高8位地址總線29腳: psen 片外rom選通端。單片機(jī)對片外rom操作時 29腳(psen)輸出低電平30腳:ALE/PROG 地址鎖存器31腳:EA rom取指令控制器 高電平片內(nèi)取 低電平片外取32-39腳:p0.0-p0.7(注意此接口的順序與其他I/O接口不同 與引腳號的排列順序相反)40腳:電源+5V3.22 有關(guān)MAX232的介紹如下:MAX232 一般說明: 該max220 - max249家庭的線路驅(qū)動器/接收器是打算為所有eia/tia-232e和v.28/v.24通信籌措接口,特別是應(yīng)用 12V的是無法

7、使用。這些零件是特別有用,在電池供電系統(tǒng)tems ,因?yàn)樗麄兊牡凸耐C(jī)模式,減少功耗要小于5瓦特該max225,max233,max235,max245/max246/max247使用無需外部元件,并建議應(yīng)用- 陽離子如印刷電路板空間是至關(guān)重要的。MAX232 特征:1、優(yōu)于雙極2、經(jīng)營從單一的5伏供電(5V和12v-max231/max239 )3、低功耗接收模式,在關(guān)機(jī)(max223/max242 )4、5、多個驅(qū)動器和接收器6、三態(tài)驅(qū)動器和接收器輸出開放式在線檢測( max243 )MAX引腳圖以及電路圖:STC單片機(jī)下載器連接圖:3.23 LCD 液晶屏工業(yè)字符型液晶,能夠同時顯示1

8、6x02即32個字符。(16列2行)1602液晶模塊內(nèi)部的字符發(fā)生存儲器(CGROM)已經(jīng)存儲了160個不同的點(diǎn)陣字符圖形,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫、常用的符號、和日文假名等,每一個字符都有一個固定的代碼,比如大寫的英文字母“A”的代碼是01000001B(41H),顯示時模塊把地址41H中的點(diǎn)陣字符圖形顯示出來,我們就能看到字母“A”。因?yàn)?602識別的是ASCII碼,試驗(yàn)可以用ASCII碼直接賦值,在單片機(jī)編程中還可以用字符型常量或變量賦值,如A。以下是1602的16進(jìn)制ASCII碼表:讀的時候,先讀上面那列,再讀左邊那行,如:感嘆號!的ASCII為0x21,字母B的ASC

9、II為0x42(前面加0x表示十六進(jìn)制)。 顯示地址1234567891011121314151600H01H02H03H04H05H06H07H08H09H0AH0BH0CH0DH0EH0FH40H41H42H43H44H45H46H47H48H49H4AH4BH4CH4DH4EH4FH指令集1602通過D0-D7的8位數(shù)據(jù)端傳輸數(shù)據(jù)和指令。顯示模式設(shè)置: (初始化)0011 0000 0x38 設(shè)置162顯示,57點(diǎn)陣,8位數(shù)據(jù)接口;顯示開關(guān)及光標(biāo)設(shè)置: (初始化)0000 1DCB D顯示(1有效)、C光標(biāo)顯示(1有效)、B光標(biāo)閃爍(1有效)0000 01NS N=1(讀或?qū)懸粋€字符后地

10、址指針加1 &光標(biāo)加1),N=0(讀或?qū)懸粋€字符后地址指針減1 &光標(biāo)減1),S=1 且 N=1 (當(dāng)寫一個字符后,整屏顯示左移)s=0 當(dāng)寫一個字符后,整屏顯示不移動數(shù)據(jù)指針設(shè)置:數(shù)據(jù)首地址為80H,所以數(shù)據(jù)地址為80H+地址碼(0-27H,40-67H)其他設(shè)置:01H(顯示清屏,數(shù)據(jù)指針=0,所有顯示=0);02H(顯示回車,數(shù)據(jù)指針=0)。通常推薦的初始化過程:延時15ms寫指令38H延時5ms寫指令38H延時5ms寫指令38H延時5ms(以上都不檢測忙信號)(以下都要檢測忙信號)寫指令38H寫指令08H 關(guān)閉顯示寫指令01H 顯示清屏寫指令06H 光標(biāo)移動設(shè)置寫指令0cH 顯示開及光

11、標(biāo)設(shè)置Proteus仿真使用Proteus仿真1602-即LM016L-依照數(shù)據(jù)手冊說明可能遇到困難,可以嘗試采用以下方案解決:1、數(shù)據(jù)手冊中可能介紹1602內(nèi)部D0D7已有上拉,可以使用P0口直接驅(qū)動。在Proteus里L(fēng)M016L內(nèi)部可能沒有,應(yīng)該人為加上拉電阻。建議不要使用排阻,使用普通電阻一個一個拉應(yīng)該可以解決問題;2、可能碰到不能檢測忙信號的問題,嘗試使用延時把忙信號拖過去。有關(guān)液晶屏的引腳說明如下:1602字符型LCD通常有14條引腳線或16條引腳線的LCD,多出來的2條線是背光電源線VCC(15腳)和地線GND(16腳),其控制原理與14腳的LCD完全一樣,其中: 引腳符號功能說

12、明1VSS一般接地2VDD接電源(+5V)3V0液晶顯示器對比度調(diào)整端,接正電源時對比度最弱,接地電源時對比度最高(對比度過高時會產(chǎn)生“鬼影”,使用時可以通過一個10K的電位器調(diào)整對比度)。4RSRS為寄存器選擇,高電平1時選擇數(shù)據(jù)寄存器、低電平0時選擇指令寄存器。5R/WR/W為讀寫信號線,高電平(1)時進(jìn)行讀操作,低電平(0)時進(jìn)行寫操作。6EE(或EN)端為使能(enable)端,下降沿使能。7DB0底4位三態(tài)、 雙向數(shù)據(jù)總線 0位(最低位)8DB1底4位三態(tài)、 雙向數(shù)據(jù)總線 1位9DB2底4位三態(tài)、 雙向數(shù)據(jù)總線 2位10DB3底4位三態(tài)、 雙向數(shù)據(jù)總線 3位11DB4高4位三態(tài)、 雙

13、向數(shù)據(jù)總線 4位12DB5高4位三態(tài)、 雙向數(shù)據(jù)總線 5位13DB6高4位三態(tài)、 雙向數(shù)據(jù)總線 6位14DB7高4位三態(tài)、 雙向數(shù)據(jù)總線 7位(最高位)(也是busy flag)15BLA背光電源正極16BLK背光 電源負(fù)極寄存器選擇控制表 RSR/W操作說明00寫入指令寄存器(清除屏等)01讀busy flag(DB7),以及讀取位址計(jì)數(shù)器(DB0DB6)值10寫入數(shù)據(jù)寄存器(顯示各字型等)11從數(shù)據(jù)寄存器讀取數(shù)據(jù)3.3 工作原理單片機(jī)當(dāng)C/ T = 1 時為計(jì)數(shù)方式,多路開關(guān)與定時器的外部引腳連通,外部計(jì)數(shù)脈沖由T0 引腳輸入。 當(dāng)外部信號由1 至0 跳變時,計(jì)數(shù)器加1,此時T0 成為外部

14、事件的計(jì)數(shù)器。 由于確認(rèn)一次由1 至0 的跳變要用24 個振蕩器周期,所以計(jì)數(shù)器的計(jì)數(shù)頻率為單片機(jī)內(nèi)部計(jì)數(shù)器頻率的1/ 24。當(dāng)C/ T = 0 時為定時方式,對單片機(jī)內(nèi)部計(jì)數(shù)器進(jìn)行m2 分頻后,計(jì)數(shù)器的實(shí)際計(jì)數(shù)頻率f o 為單片機(jī)內(nèi)部頻率f osc的1/ m2。即:f x = m1 f osc/ m2 N 。當(dāng)GATE = 0 時,反相器輸出為1,或門輸出為1,打開與門,使定時器的啟動僅受TRO 端信號電平的控制。在此種情況下, INT0 引腳的電平變化對或門不起作用。 TRO = 1 時接通控制開關(guān),計(jì)數(shù)脈沖加到計(jì)數(shù)器上,每來一個計(jì)數(shù)脈沖,計(jì)數(shù)器加1,只有當(dāng)TRO = 0 時,控制開關(guān)斷開

15、,計(jì)數(shù)器停止計(jì)數(shù)。當(dāng)GATA = 0 時,若TRO = 1,或門、與門全部打開,外部信號電平通過INTO 引腳直接控制定時器的啟動和關(guān)閉。輸入高電平時允許計(jì)數(shù),否則停止計(jì)數(shù)。根據(jù)定時器T0 的結(jié)構(gòu)原理,若我們將GATE 位、TR0 均設(shè)為1,INT0 端輸入被測頻率信號,當(dāng)被測信號的高電平到來時,開始計(jì)數(shù);當(dāng)被測信號的低電平到來時,計(jì)數(shù)器停止計(jì)數(shù),此時TL0 、TH0 的數(shù)據(jù)就是相應(yīng)的N 值。3.4 系統(tǒng)結(jié)構(gòu)框圖四、 電路原理圖五、總結(jié)通過這一次的課程設(shè)計(jì),加深了我對單片機(jī)的理論知識的理解,以及動手能力的提高。使我深刻的意識到,做好一次課程設(shè)計(jì)是十分不容易的,我從實(shí)踐當(dāng)中鍛練了自己。雖然剛開始

16、不太懂,但是通過上圖書館找資料,我慢慢的了解了頻率計(jì)這方面的知識。由于以前接觸這方面不是很多,印制板制作好了后,調(diào)試花了很多時間才順利通過。所以也讓我總結(jié)出來:在調(diào)試過程中,最好先測試各單元電路,保證各部份電路能夠正常工作,檢查問題時采取逐步縮小故障范圍,最后定位,再對相應(yīng)的位置做檢查,解決問題。在這一次課程設(shè)計(jì)當(dāng)中,不僅讓我學(xué)到了許多課本知識,同時也讓我意識到:一個程序?qū)懲曛蟛⒉皇邱R上就完工了,需要很多次的修改優(yōu)化才能達(dá)到設(shè)計(jì)要求。同時我也感受到了團(tuán)隊(duì)合作的重要性,我們組的成員之間相互配合的很好,充分發(fā)揮了自己的所長,這是我們組為什么能夠在限定的時間內(nèi)完成任務(wù)的一個原因。當(dāng)然能夠完成任務(wù),

17、首先肯定還是離不開老師們的指導(dǎo),在此我忠心的感謝林老師和楊老師,以及幫助我們完成課程設(shè)計(jì)的同學(xué)們。但在本次設(shè)計(jì)當(dāng)中,仍然存在很多的不足,比如說:作品的精度不是很高,作品受到頻率范圍的限制等等。以及自身也有很多的不足。但是我會不斷的勉勵自己,讓自己不斷的進(jìn)步!參考文獻(xiàn)1、 梁文海 單片機(jī)AT89C2051 構(gòu)成的智能型頻率計(jì)J 現(xiàn)代電子技術(shù) 2002年2、 曹巧媛 單片機(jī)原理及應(yīng)用M北京:電子工業(yè)出版社 1997年 3、 程遠(yuǎn)楚 單片機(jī)智能頻率信號裝置J 自動化與儀器儀表 2001年 4、 吳慎山 壓電超聲直線馬達(dá)驅(qū)動電路的研究J 河南師范大學(xué)學(xué)報(bào)(自然科學(xué)版) 1994年 5、 謝自美 電子線

18、路設(shè)計(jì) 華中科技大學(xué)出版社元件列表名稱規(guī)格數(shù)量LCD16021單片機(jī)STC89C521芯片MAX232124M 晶振110K排阻1電容、電阻不同型號若干萬能板1導(dǎo)線若干排針、排孔若干附件一:程序清單COM EQU 30HDAT EQU 31HFREQ1 EQU 32HFREQ2 EQU 33HFREQ3 EQU 34HFREQ4 EQU 35HFREQ5 EQU 36HFREQ6 EQU 37HJISHU EQU 38HJISHU1 EQU 39HJISHU2 EQU 3AHJISHU3 EQU 3BHTIMEB EQU 3CHTIMEH EQU 3DHTIMEM EQU 3EHTIMEL E

19、QU 3FHPANDUAN EQU 40HBEICHUB EQU 41HBEICHUH EQU 42HBEICHUM EQU 43HBEICHUL EQU 44HZANCUNB EQU 45HZANCUNH EQU 46HZANCUNM EQU 47HZANCUNL EQU 48HRESULT1 EQU 49HRESULT2 EQU 4AH RESULT3 EQU 4BH RESULT4 EQU 4CH RESULT5 EQU 4DH RESULT6 EQU 4EH XIAOSHU EQU 4FH CUNCHU EQU 50H FLAG1 BIT 20H FLAG2 BIT 21H FLAG3

20、 BIT 22H ORG 0000H LJMP START ORG 0003H LJMP OUTINT0 ORG 000BH LJMP TIMINT0 ORG 001BH LJMP TIMINT1 ORG 0100HSTART :MOV SP,#60H LCALL LCDINIT LCALL DISPLAI LCALL VARINIT SETB FLAG3KEYLOOP:JNB FLAG3,KEYDOWN LCALL FIJUDGEKEYDOWN:LCALL DISPLAY JNB FLAG1,GOBACK LCALL CALCULAGOBACK: SJMP KEYLOOPLCDINIT:MO

21、V COM,#38H LCALL SENDCOM LCALL DELAY5 LCALL SENDCOM LCALL DELAY5 LCALL SENDCOM LCALL DELAY5 LCALL SENDCOM LCALL DELAY5 MOV COM,#08H LCALL SENDCOM LCALL DELAY5 MOV COM,#01H LCALL SENDCOM LCALL DELAY5 MOV COM,#06H LCALL SENDCOM LCALL DELAY5 MOV COM,#0CH LCALL SENDCOM LCALL DELAY5 RETVARINIT:MOV XIAOSH

22、U,#1 MOV ZANCUNB,#0 MOV ZANCUNH,#10H MOV ZANCUNM,#84H MOV ZANCUNL,#80H MOV RESULT1,#0 MOV RESULT2,#0 MOV RESULT3,#0 MOV RESULT4,#0 MOV RESULT5,#0 MOV RESULT6,#0 MOV R0,#30H MOV R1,#15CLEAR:MOV R0,#0 INC R0 DJNZ R1,CLEAR RETFIJUDGE:CLR FLAG1 CLR FLAG3 MOV ZANCUNB,#0 MOV ZANCUNH,#1EH MOV ZANCUNM,#84H

23、MOV ZANCUNL,#80H MOV R0,#30H MOV R1,#16CLEAR1 :MOV R0,#0 INC R0 DJNZ R1,CLEAR1 MOV TH0,#3CH MOV TL0,#0B0H MOV TH1,#0H MOV TL1,#0H MOV TMOD,#11H MOV TCON,#11H MOV IE,#83H MOV IP,#02H RETDISPLAI:MOV DPTR,#TABLE MOV R0,#16 MOV R1,#0 MOV R2,#80HBACKDIS:MOV COM,R2 LCALL SENDCOM LCALL DELAY5 INC R2 MOV A,

24、R1 MOVC A,A+DPTR MOV DAT,A LCALL SENDDAT INC R1 LCALL DELAY5 DJNZ R0,BACKDIS MOV DPTR,#TABLE1 MOV R0,#16 MOV R1,#0 MOV R2,#0C0HBACKDI2:MOV COM,R2 LCALL SENDCOM LCALL DELAY5 INC R2 MOV A,R1 MOVC A,A+DPTR MOV DAT,A LCALL SENDDAT INC R1 LCALL DELAY5 DJNZ R0,BACKDI2 RETDISPLAY:MOV A,XIAOSHU ADD A,#0C9H

25、MOV COM,A LCALL SENDCOM LCALL DELAY5 MOV DAT,#2EH LCALL SENDDAT LCALL DELAY5 MOV R0,#49H MOV R1,#6SHUCHUD:MOV A,#49H ADD A,XIAOSHU MOV B,A MOV A,R0 SUBB A,B JNC GODISPL MOV A,#80H ADD A,R0 SJMP GODISPPGODISPL:MOV A,#81H ADD A,R0GODISPP:MOV COM,A LCALL SENDCOM LCALL DELAY5 MOV A,#30H ADD A,R0 MOV DAT

26、,A LCALL SENDDAT LCALL DELAY5 INC R0 DJNZ R1,SHUCHUD RETCALCULA:MOV RESULT1,#0 MOV RESULT2,#0 MOV RESULT3,#0 MOV RESULT4,#0 MOV RESULT5,#0 MOV RESULT6,#0 MOV A,JISHU3 CLR C SUBB A,#1 JC GODOWN1 MOV A,JISHU3 MOV B,#10 DIV AB MOV RESULT1,A MOV RESULT2,B MOV A,JISHU2 MOV B,#10 DIV AB MOV RESULT3,A MOV

27、RESULT4,B MOV A,JISHU1 MOV B,#10 DIV AB MOV RESULT5,A MOV RESULT6,B LJMP GOUTCALGODOWN1:MOV A,JISHU2 CLR C SUBB A,#10 JC GODOWN2 MOV JISHU1,#0FDH MOV JISHU2,#01H MOV JISHU3,#0 MOV PANDUAN,#9 LJMP GODOWN5GODOWN2:MOV A,JISHU2 CLR C SUBB A,#1 JC GODOWN3 MOV JISHU1,#0FEH MOV JISHU2,#0 MOV JISHU3,#0 MOV

28、PANDUAN,#8 LJMP GODOWN5GODOWN3:MOV A,JISHU1 CLR C SUBB A,#10 JC GODOWN4 MOV JISHU1,#16 MOV JISHU2,#0 MOV JISHU3,#0 MOV PANDUAN,#4 LJMP GODOWN5GODOWN4:MOV JISHU1,#1 MOV JISHU2,#0 MOV JISHU3,#0 MOV PANDUAN,#0GODOWN5:MOV IP,#01H CLR TR1 CLR EX0 MOV TH1,#0 MOV TL1,#0 MOV TIMEH,#0 MOV TIMEB,#0 MOV IE,#89

29、H MOV CUNCHU,#0 JB FLAG1,$ LCALL DIVIDE SJMP GOOUTCAGOUTCAL:MOV XIAOSHU,#6 SETB FLAG3GOOUTCA:RETDIVIDE :MOV R0,PANDUAN CJNE R0,#0,BACKDIV SJMP NEXTTHIBACKDIV:CLR C MOV A,TIMEB RRC A MOV TIMEB,A MOV A,TIMEH RRC A MOV TIMEH,A MOV A,TIMEM RRC A MOV TIMEM,A MOV A,TIMEL RRC A MOV TIMEL,A DJNZ R0,BACKDIVN

30、EXTTHI:LCALL MDIVIDE RETMDIVIDE:CLR C MOV RESULT6,#0FFHMIAGAIN:INC RESULT6 MOV A,RESULT6 CJNE A,#10,GODIVID MOV RESULT6,#0 INC RESULT5 MOV A,RESULT5 CJNE A,#10,GODIVID MOV RESULT5,#0 INC RESULT4 MOV A,RESULT4 CJNE A,#10,GODIVID MOV RESULT4,#0 INC RESULT3 MOV A,RESULT3 CJNE A,#10,GODIVID MOV RESULT3,

31、#0 INC RESULT2GODIVID:MOV BEICHUL,ZANCUNL MOV BEICHUM,ZANCUNM MOV BEICHUH,ZANCUNH MOV BEICHUB,ZANCUNB MOV A,BEICHUL CLR C SUBB A,TIMEL MOV ZANCUNL,A MOV A,BEICHUM SUBB A,TIMEM MOV ZANCUNM,A MOV A,BEICHUH SUBB A,TIMEH MOV ZANCUNH,A MOV A,BEICHUB SUBB A,TIMEB MOV ZANCUNB,A JNC MIAGAIN MOV A,RESULT2 JZ

32、 PANXIA1 MOV XIAOSHU,#5 MOV RESULT1,RESULT2 MOV RESULT2,RESULT3 MOV RESULT3,RESULT4 MOV RESULT4,RESULT5 MOV RESULT5,RESULT6 MOV RESULT6,#0FFH LCALL CALXIAO LJMP ENDXIAOPANXIA1:MOV A,RESULT3 JZ PANXIA2 MOV XIAOSHU,#4 MOV RESULT1,RESULT3 MOV RESULT2,RESULT4 MOV RESULT3,RESULT5 MOV RESULT4,RESULT6 MOV

33、RESULT5,#0FFH MOV RESULT6,#0FFH LCALL CALXIAO LJMP ENDXIAOPANXIA2:MOV A,RESULT4 JZ PANXIA3 MOV XIAOSHU,#3 MOV RESULT1,RESULT4 MOV RESULT2,RESULT5 MOV RESULT3,RESULT6 MOV RESULT4,#0FFH MOV RESULT5,#0FFH MOV RESULT6,#0FFH LCALL CALXIAO LJMP ENDXIAOPANXIA3:MOV A,RESULT5 JZ PANXIA4 MOV XIAOSHU,#2 MOV RE

34、SULT1,RESULT5 MOV RESULT2,RESULT6 MOV RESULT3,#0FFH MOV RESULT4,#0FFH MOV RESULT5,#0FFH MOV RESULT6,#0FFH LCALL CALXIAO LJMP ENDXIAOPANXIA4:MOV A,RESULT6 JZ PANXIA5 MOV XIAOSHU,#1 MOV RESULT1,RESULT6 MOV RESULT2,#0FFH MOV RESULT3,#0FFH MOV RESULT4,#0FFH MOV RESULT5,#0FFH MOV RESULT6,#0FFH LCALL CALX

35、IAO LJMP ENDXIAOPANXIA5:MOV XIAOSHU,#1 MOV RESULT1,#0 MOV RESULT2,#0FFH MOV RESULT3,#0FFH MOV RESULT4,#0FFH MOV RESULT5,#0FFH MOV RESULT6,#0FFH LCALL CALXIAOENDXIAO:RETCALXIAO:MOV A,#6 CLR C SUBB A,XIAOSHU MOV R7,ACALXIAI:MOV A,BEICHUL MOV B,#10 MUL AB MOV ZANCUNL,B MOV BEICHUL,A MOV A,BEICHUM MOV B

36、,#10 MUL AB MOV ZANCUNM,B MOV BEICHUM,A MOV A,BEICHUH MOV B,#10 MUL AB MOV ZANCUNH,B MOV BEICHUH,A MOV A,BEICHUB MOV B,#10 MUL AB MOV BEICHUB,A MOV A,BEICHUM ADD A,ZANCUNL MOV BEICHUM,A MOV A,BEICHUH ADDC A,ZANCUNM MOV BEICHUH,A MOV A,BEICHUB ADDC A,ZANCUNH MOV BEICHUB,A MOV ZANCUNB,#0 CLR C MOV A,#

37、49H ADD A,#6 SUBB A,R7 MOV R0,A MOV ZANCUNL,BEICHUL MOV ZANCUNM,BEICHUM MOV ZANCUNH,BEICHUH MOV ZANCUNB,BEICHUBMUAGAIN:INC R0 MOV BEICHUL,ZANCUNL MOV BEICHUM,ZANCUNM MOV BEICHUH,ZANCUNH MOV BEICHUB,ZANCUNB MOV A,BEICHUL CLR C SUBB A,TIMEL MOV ZANCUNL,A MOV A,BEICHUM SUBB A,TIMEM MOV ZANCUNM,A MOV A,

38、BEICHUH SUBB A,TIMEH MOV ZANCUNH,A MOV A,BEICHUB SUBB A,TIMEB MOV ZANCUNB,A JNC MUAGAIN DJNZ R7,CALXIAI SETB FLAG3 LCALL DISPLAY MOV R1,#100BACKR1 :LCALL DELAY5 DJNZ R1,BACKR1 RETSENDCOM:CLR RS CLR RW MOV P0,COM SETB EN NOP CLR EN RETSENDDAT:SETB RS CLR RW MOV P0,DAT SETB EN NOP CLR EN RETDELAY5 :MO

39、V R6,#40BACK5:MOV R7,#250 DJNZ R7,$ DJNZ R6,BACK5 RETOUTINT0:PUSH ACC JB FLAG1,GOINT2 SETB TR0 INC JISHU1 MOV A,JISHU1 CJNE A,#100,GOOUTO MOV JISHU1,#0 INC JISHU2 MOV A,JISHU2 CJNE A,#100,GOOUTO MOV JISHU2,#0 INC JISHU3 MOV A,JISHU3 CJNE A,#10,GOOUTO SETB FLAG2 SJMP GOOUTOGOINT2: INC CUNCHU MOV A,CU

40、NCHU CLR C SUBB A,#2 JC GOOUTO SETB TR1 DEC JISHU1 MOV A,JISHU1 CJNE A,#255,GOOUTO DEC JISHU2 MOV A,JISHU2 CJNE A,#255,GOOUTO DEC JISHU3 MOV A,JISHU3 CJNE A,#255,GOOUTO CLR TR1 CLR EX0 CLR FLAG1 MOV TIMEM,TH1 MOV TIMEL,TL1GOOUTO: POP ACC RETITIMINT0:PUSH ACC MOV TH0,#3CH MOV TL0,#0B0H INC JISHU MOV A,JISHU CJNE A,#40,GOOUTT1 CLR EX0 CLR TR0 SETB FLAG1GOOUTT1:POP ACC RETITIMINT1:PUSH ACC INC TIMEH MOV A,TIMEH CJNE A,#0,GOOUTT2 INC TIMEB MOV A,TIMEB CJNE A,#2,GOOUTT2 SETB FLAG2GOOUTT2:POP ACC RETITABLE:DB -An Ondometer-TABLE2:DB -Out of limit-TABLE1:DB Frequent:

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!