九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

超聲波倒車?yán)走_(dá)畢業(yè)設(shè)計(jì).doc

上傳人:good****022 文檔編號(hào):116419501 上傳時(shí)間:2022-07-05 格式:DOC 頁(yè)數(shù):42 大?。?.02MB
收藏 版權(quán)申訴 舉報(bào) 下載
超聲波倒車?yán)走_(dá)畢業(yè)設(shè)計(jì).doc_第1頁(yè)
第1頁(yè) / 共42頁(yè)
超聲波倒車?yán)走_(dá)畢業(yè)設(shè)計(jì).doc_第2頁(yè)
第2頁(yè) / 共42頁(yè)
超聲波倒車?yán)走_(dá)畢業(yè)設(shè)計(jì).doc_第3頁(yè)
第3頁(yè) / 共42頁(yè)

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《超聲波倒車?yán)走_(dá)畢業(yè)設(shè)計(jì).doc》由會(huì)員分享,可在線閱讀,更多相關(guān)《超聲波倒車?yán)走_(dá)畢業(yè)設(shè)計(jì).doc(42頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、 威 海 職 業(yè) 學(xué) 院畢 業(yè) 設(shè) 計(jì) 任 務(wù) 書專業(yè) 電子工藝與管理年級(jí) XXX班級(jí) 一班姓名 XXX 學(xué)號(hào) XXX威 海 職 業(yè) 學(xué) 院 教 務(wù) 處 編 印畢業(yè)設(shè)計(jì)指導(dǎo)須知一、畢業(yè)設(shè)計(jì)是高職教學(xué)過程中一個(gè)十分重要的環(huán)節(jié)。是鍛煉學(xué)生運(yùn)用所學(xué)知識(shí)正確分析和解決實(shí)際問題的一個(gè)重要方面,也是高職培養(yǎng)應(yīng)用型專門人才的要求。二、導(dǎo)教師應(yīng)為具有講師以上或相應(yīng)職稱的有關(guān)專業(yè)人員,且專業(yè)對(duì)口(指所指導(dǎo)專業(yè)應(yīng)同所聘教師專業(yè)職稱相一致)。經(jīng)系、教務(wù)處審查同意后,才能指導(dǎo)學(xué)生的畢業(yè)設(shè)計(jì)。三、學(xué)生應(yīng)以嚴(yán)肅認(rèn)真,實(shí)事求是的態(tài)度完成設(shè)計(jì)。要獨(dú)立思考,自己動(dòng)手,不得抄襲或找人代筆。四、畢業(yè)設(shè)計(jì)選題要符合專業(yè)培養(yǎng)目標(biāo)的要求

2、。論文(任務(wù)書)寫作要做到論點(diǎn)明確、論據(jù)充分,論理透徹,語(yǔ)言準(zhǔn)確恰當(dāng),書面整潔、字跡工整,圖紙應(yīng)清晰、工整,符合設(shè)計(jì)要求,符合國(guó)家有關(guān)標(biāo)準(zhǔn)和部頒標(biāo)準(zhǔn)。字?jǐn)?shù)、圖紙數(shù)量符合有關(guān)要求。并在規(guī)定的時(shí)間內(nèi)完成。五、答辯過程中學(xué)生要嚴(yán)認(rèn)真,文明禮貌,謙虛謹(jǐn)慎,認(rèn)真回答答辯主持人,委員等提出的問題。六、填報(bào)有關(guān)表格時(shí),應(yīng)按項(xiàng)目要求逐項(xiàng)填實(shí)、填全、填清。學(xué)號(hào)XXX姓名 XXX學(xué) 制 三年制專業(yè) 電子工藝與管理年級(jí) XXX教學(xué)班負(fù)責(zé)人 XXX班級(jí) 一班指導(dǎo)教師姓名XXX職務(wù)或職稱 副教授設(shè) 計(jì) 題 目 超聲波倒車?yán)走_(dá)指導(dǎo)教師評(píng)語(yǔ):成績(jī): 指導(dǎo)教師簽名: 工作單位 年 月 日系復(fù)審意見:成績(jī): 復(fù)審人簽名: 職稱

3、: 公章 年 月 日教務(wù)處終審意見:公章 年 月 日答 辯 情 況 記 錄答 辯 題 目答 辯 情 況正確基本正確經(jīng)提示回 答不正確未回答此表由主持答辯的同志填寫。答辯委員會(huì)(或小組)評(píng)語(yǔ):成績(jī): 主持答辯人簽名: 職稱: 月 日一、畢業(yè)設(shè)計(jì)的任務(wù)和具體要求:畢業(yè)設(shè)計(jì)的任務(wù):超聲波倒車?yán)走_(dá)畢業(yè)設(shè)計(jì)的具體要求:1.1 設(shè)計(jì)要求:利用SPCE061A單片機(jī)、三個(gè)超聲波測(cè)距模組實(shí)現(xiàn)超聲波倒車?yán)走_(dá),要求具有下述功能: 1. 可以語(yǔ)音提示模組探測(cè)范圍內(nèi)的0.35m1.5m范圍內(nèi)的障礙物;2. 語(yǔ)音提示可指明哪一個(gè)方向(或區(qū)域)有障礙物在探測(cè)范圍內(nèi); 3. 利用三個(gè)LED發(fā)光二極管表示三個(gè)傳感器探測(cè)范圍內(nèi)

4、是否有障礙物,當(dāng)在探測(cè)范圍內(nèi)有障礙物時(shí),發(fā)光管以一定頻率閃爍,閃爍的頻率以距離定,距離越近頻率越高。1.2 技術(shù)要求1.方案所需所有代碼,資源都存放在一顆SPCE061A單片機(jī)當(dāng)中。1.3 備注如果有顯示模塊(如LCD液晶),可以在增加顯示部分代碼,在顯示模塊上顯示出當(dāng)前狀態(tài),以及報(bào)警時(shí)障礙物的距離數(shù)據(jù)二、畢業(yè)設(shè)計(jì)應(yīng)完成的圖紙圖3.1 SPCE061內(nèi)部結(jié)構(gòu)圖 見3頁(yè)圖3.2 61板實(shí)物圖 見5頁(yè)圖3.3 超聲波測(cè)距模組結(jié)構(gòu)圖 見6頁(yè)圖3.4 模組外接電源接口及供電方式選擇跳線 見7頁(yè) 圖3.5 模組測(cè)距模式選擇跳線 見8頁(yè)圖3.6 J2跳線和J8接口的位置示意圖 見8頁(yè)圖3.7 為CD405

5、2內(nèi)部結(jié)構(gòu)圖, 見10頁(yè)圖3.8 為CD4052的引腳圖。 見10頁(yè)圖4.1 系統(tǒng)硬件結(jié)構(gòu)圖 見11頁(yè)圖5.1 SPCEA061A最小系統(tǒng) 見12頁(yè)圖5.2 電源模塊 見13頁(yè)圖5.3 放音模塊電路圖 見13頁(yè)圖5.4 超聲波諧振頻率發(fā)生電路,調(diào)理電路 見14頁(yè)圖5.5 超聲波回波接受處理電路 見14頁(yè)圖5.6 外部單獨(dú)電源輸入接口及選擇跳線 見14頁(yè)圖5.7 測(cè)距模式選擇跳線 見15頁(yè)圖5.8 超聲波測(cè)距模組接口 見15頁(yè)圖5.9 轉(zhuǎn)接板電路原理 見16頁(yè)圖5.10 顯示電路 見16頁(yè)圖6.1 超聲波信號(hào)測(cè)量 見17頁(yè)圖6.2 超聲波測(cè)距子函數(shù)流程圖 見19頁(yè)圖6.3 16Hz中斷流程圖 見

6、20頁(yè)圖6.4 EXTI外部中斷流程圖 見21頁(yè)圖6.5 語(yǔ)音播放程序流程圖 見21頁(yè)圖6.6 IRQ5的2Hz中斷服務(wù)程序 見22頁(yè)圖6.7 顯示刷新程序 見23頁(yè)圖6.8 主程序流程圖 見24頁(yè)圖6.9 測(cè)距結(jié)果處理程序流程圖 見25頁(yè)圖7.1 轉(zhuǎn)接板示意圖 見26頁(yè)圖7.2 系統(tǒng)連接示意圖 見26頁(yè)三、其他要求:論文寫作要做到論點(diǎn)明確、論據(jù)充分,論理透徹,書面整潔,圖紙應(yīng)清晰,符合設(shè)計(jì)要求。四、畢業(yè)設(shè)計(jì)的期限: 自 2008 年 9 月 3 日至 2008 年 10 月 11 日五、畢業(yè)設(shè)計(jì)(論文)進(jìn)度計(jì)劃:起 至 日 期工 作 內(nèi) 容09.0309.06確定畢業(yè)設(shè)計(jì)的課題09.0709

7、.15搜集畢業(yè)設(shè)計(jì)的資料和內(nèi)容,09.1609.17對(duì)畢業(yè)設(shè)計(jì)課題進(jìn)行研究和討論09.189.19修改驗(yàn)證中出現(xiàn)的問題,通過討論解決問題9.209.21再次對(duì)畢業(yè)設(shè)計(jì)進(jìn)行分析和解決問題9.229.27寫畢業(yè)論文9.2810.03對(duì)論文進(jìn)行修改和進(jìn)一步的補(bǔ)充10.0410.11準(zhǔn)備畢業(yè)答辯超聲波倒車?yán)走_(dá)摘 要倒車?yán)走_(dá)又稱泊車輔助系統(tǒng),是汽車泊車安全輔助裝置,能以聲音或者更為直觀的顯示告知駕駛員周圍障礙物的情況,解除了駕駛員泊車和起動(dòng)車輛時(shí)前后左右探視所引起的困擾,并幫助駕駛員掃除了視野死角和視線模糊的缺陷,提高了安全性。一般由超聲波傳感器(俗稱探頭)、控制器和顯示器等部分組成,現(xiàn)在市場(chǎng)上的倒車?yán)?/p>

8、達(dá)大多采用超聲波測(cè)距原理,駕駛者在倒車時(shí),啟動(dòng)倒車?yán)走_(dá),在控制器的控制下,由裝置于車尾保險(xiǎn)杠上的探頭發(fā)送超聲波,遇到障礙物,產(chǎn)生回波信號(hào),傳感器接收到回波信號(hào)后經(jīng)控制器進(jìn)行數(shù)據(jù)處理,判斷出障礙物的位置,由顯示器顯示距離并發(fā)出警示信號(hào),得到及時(shí)警示,從而使駕駛者倒 車時(shí)做到心中有數(shù),使倒車變得更輕松。倒車?yán)走_(dá)的提示方式可分為液晶、語(yǔ)言和聲音三種;接收方式有無線傳輸和有線傳輸?shù)?。本方案采用語(yǔ)音提示的方式,本文介紹了以SPCE061A單片機(jī)為核心的一種低成本、高精度、微型化,并有數(shù)字顯示和聲光報(bào)警功能的倒車?yán)走_(dá)系統(tǒng)。利用SPCE061A 單片機(jī)所具備的單芯片語(yǔ)音功能,外接三個(gè)超聲波測(cè)距模組,組成一個(gè)

9、示例的倒車?yán)走_(dá)系統(tǒng),語(yǔ)音提示報(bào)警(0.35m1.5m)范圍內(nèi)的障礙物。關(guān)鍵詞:倒車?yán)走_(dá) 超聲波 單片機(jī)SPCE061A 目 錄第1章 前言1第2章 實(shí)現(xiàn)功能 2第3章 核心器件簡(jiǎn)介3 3.1 SPCE061A 3 3.1.1 SPCE061A簡(jiǎn)介 4 3.1.2 芯片特性 43.2 SPCE061A精簡(jiǎn)開發(fā)板 43.3 超聲波測(cè)距模組 53.4 轉(zhuǎn)接板 9第4章 系統(tǒng)總體方案11第5章 系統(tǒng)硬件設(shè)計(jì)125.1 SPCE061A精簡(jiǎn)開發(fā)板電路原理 12 5.1.1 SPCE061最小系統(tǒng) 12 5.1.2 電源模板 12 5.1.3 放音模板 135.2 超聲波測(cè)距模組電路原理 13 5.2.1

10、 超聲波諧振頻率發(fā)生電路、調(diào)理電路13 5.2.2 超聲波回波接受處理電路 14 5.2.3 超聲波測(cè)距模組電源接口 14 5.2.4 超聲波測(cè)距模式選擇跳線 15 5.2.5 超聲波測(cè)距模組接口155.3 轉(zhuǎn)接板電路155.4 顯示電路 16第6章 系統(tǒng)軟件設(shè)計(jì) 17 6.1 超聲波測(cè)距原理17 6.2 軟件結(jié)構(gòu)18 6.3 各模塊程序說明18 6.3.1 超聲波測(cè)距程序 18 6.3.2 語(yǔ)音播放程序 22 6.3.3 顯示刷新程序 23 6.3.4 主程序25第7章 連接與操作說明 26參考文獻(xiàn) 29致謝 3011 超聲波倒車?yán)走_(dá)第一章 前言倒車?yán)走_(dá)又稱泊車輔助系統(tǒng),是汽車泊車安全輔助裝

11、置,能以聲音或者更為直觀的顯示告知駕駛員周圍障礙物的情況,解除了駕駛員泊車和起動(dòng)車輛時(shí)前后左右探視所引起的困擾,并幫助駕駛員掃除了視野死角和視線模糊的缺陷,提高了安全性。 一般由超聲波傳感器(俗稱探頭)、控制器和顯示器等部分組成,現(xiàn)在市場(chǎng)上的倒車?yán)走_(dá)大多采用超聲波測(cè)距原理,駕駛者在倒車時(shí),啟動(dòng)倒車?yán)走_(dá),在控制器的控制下,由裝置于車尾保險(xiǎn)杠上的探頭發(fā)送超聲波,遇到障礙物,產(chǎn)生回波信號(hào),傳感器接收到回波信號(hào)后經(jīng)控制器進(jìn)行數(shù)據(jù)處理,判斷出障礙物的位置,由顯示器顯示距離并發(fā)出警示信號(hào),得到及時(shí)警示,從而使駕駛者倒 車時(shí)做到心中有數(shù),使倒車變得更輕松。倒車?yán)走_(dá)的提示方式可分為液晶、語(yǔ)言和聲音三種;接收方

12、式有無線傳輸和有線傳輸?shù)?。本方案采用語(yǔ)音提示的方式,利用SPCE061A 單片機(jī)所具備的單芯片語(yǔ)音功能,外接三個(gè)超聲波測(cè)距模組,組成一個(gè)示例的倒車?yán)走_(dá)系統(tǒng),語(yǔ)音提示報(bào)警(0.35m1.5m)范圍內(nèi)的障礙物。第二章 實(shí)現(xiàn)功能利用SPCE061A單片機(jī)、三個(gè)超聲波測(cè)距模組實(shí)現(xiàn)超聲波倒車?yán)走_(dá),要求具有下述功能: 1.可以語(yǔ)音提示模組探測(cè)范圍內(nèi)(0.35m1.5m)的障礙物; 2.語(yǔ)音提示可指明哪一個(gè)方向(或區(qū)域)有障礙物在探測(cè)范圍內(nèi); 3.利用三個(gè)LED發(fā)光二極管表示三個(gè)傳感器探測(cè)范圍內(nèi)是否有障礙物,當(dāng)在探測(cè)范圍內(nèi)有障礙物時(shí),發(fā)光二極管以一定頻率閃爍,閃爍的頻率以距離定,距離越近頻率越高。本方案要求

13、所有的語(yǔ)音資源、程序代碼都存放在一顆SPCE061A片內(nèi)Flash當(dāng)中;當(dāng)語(yǔ)音播報(bào)時(shí),如檢測(cè)到左后方有障礙物,則用語(yǔ)音播放:“左后方”,如右后方有障礙物,則語(yǔ)音播方“右后方”;當(dāng)檢查到中間的傳感器探測(cè)范圍內(nèi)有障礙特時(shí),語(yǔ)音播放:“后方”。而連續(xù)播放提示的間隔,要大于或等于3秒,以免過于頻繁的播報(bào)語(yǔ)音。第三章 核心器件簡(jiǎn)介本系統(tǒng)采用SPCE061A單片機(jī)作為主控制器,傳感器模塊采用凌陽(yáng)大學(xué)計(jì)劃的“超聲波測(cè)距模組”。另外,為了使這三個(gè)傳感器模塊能夠組合在一起,并且可靠的工作,還需要一個(gè)轉(zhuǎn)接板,可以利用4052模擬開關(guān)器件制作; 需要外接三個(gè)發(fā)光二極管。下面分別介紹這些模塊的特性。3.1 SPCE0

14、61芯片特性3.1.1 SPCE061簡(jiǎn)介SPCE061A是凌陽(yáng)科技研發(fā)生產(chǎn)的性價(jià)比很高的一款十六位單片機(jī),具有易學(xué)易用、效率較高的一套指令系統(tǒng)和集成開發(fā)環(huán)境。在此環(huán)境中,支持標(biāo)準(zhǔn)C語(yǔ)言,可以實(shí)現(xiàn)C語(yǔ)言與凌陽(yáng)匯編語(yǔ)言的互相調(diào)用,并且,提供了語(yǔ)音錄放和語(yǔ)音識(shí)別的庫(kù)函數(shù),只要了解庫(kù)函數(shù)的使用,就會(huì)很容易完成語(yǔ)音錄放,這些都為軟件開發(fā)提供了方便的條件: SPCE061A片內(nèi)還集成了一個(gè)ICE(在線仿真電路)接口,使得對(duì)該芯片的編程、仿真都變得非常方便,而ICE接口不占用芯片上的硬件資源,結(jié)合凌陽(yáng)科技提供的集成開發(fā)環(huán)境(unSP IDE),用戶可以利用它對(duì)芯片進(jìn)行真實(shí)的仿真;而程序的下載(燒寫)也是通

15、過該接口實(shí)現(xiàn)。 下圖為SPCE061A單片機(jī)的內(nèi)部結(jié)構(gòu)框圖圖3.1 SPCE061內(nèi)部結(jié)構(gòu)圖3.1.2 芯片特性. 16位nSP微處理器; . 工作電壓:內(nèi)核工作電壓VDD為3.03.6V(CPU),IO口工作電壓VDDH為VDD5.5V(I/O); . CPU時(shí)鐘:0.32MHz49.152MHz; . 內(nèi)置2K字SRAM; . 內(nèi)置32K閃存ROM; . 可編程音頻處理; . 晶體振蕩器; . 系統(tǒng)處于備用狀態(tài)下(時(shí)鐘處于停止?fàn)顟B(tài)),耗電小于2A3.6V; . 2個(gè)16位可編程定時(shí)器/計(jì)數(shù)器(可自動(dòng)預(yù)置初始計(jì)數(shù)值); . 2個(gè)10位DAC(數(shù)-模轉(zhuǎn)換)輸出通道; . 32位通用可編程輸入/

16、輸出端口; . 14個(gè)中斷源可來自定時(shí)器A / B,時(shí)基,2個(gè)外部時(shí)鐘源輸入,鍵喚醒; . 具備觸鍵喚醒的功能; . 使用凌陽(yáng)音頻編碼SACM_S240方式(2.4K位/秒),能容納210秒的語(yǔ)音數(shù)據(jù); . 鎖相環(huán)PLL振蕩器提供系統(tǒng)時(shí)鐘信號(hào); . 32768Hz實(shí)時(shí)時(shí)鐘; . 7通道10位電壓模-數(shù)轉(zhuǎn)換器(ADC)和單通道聲音模-數(shù)轉(zhuǎn)換器; . 聲音模-數(shù)轉(zhuǎn)換器輸入通道內(nèi)置麥克風(fēng)放大器和自動(dòng)增益控制(AGC)功能; . 具備串行設(shè)備接口; . 低電壓復(fù)位(LVR)功和低電壓監(jiān)測(cè)(LVD)功能; . 內(nèi)置在線仿真(ICE,In- Circuit Emulator)接口。3.2 SPCE061A

17、精簡(jiǎn)開發(fā)板SPCE061A精簡(jiǎn)開發(fā)板(簡(jiǎn)稱61板),是以凌陽(yáng)16位單片機(jī)SPCE061A為核心的精簡(jiǎn)開發(fā)仿真實(shí)驗(yàn)板,大小相當(dāng)于一張撲克牌,是“凌陽(yáng)科技大學(xué)計(jì)劃”專為大學(xué)生、電子愛好者等進(jìn)行電子實(shí)習(xí)、課程設(shè)計(jì)、畢業(yè)設(shè)計(jì)、電子制作及電子競(jìng)賽所設(shè)計(jì)的,也可作為單片機(jī)項(xiàng)目初期研發(fā)使用。61板除了具備單片機(jī)最小系統(tǒng)電路外,還包括有電源電路、音頻電路(含MIC輸入部分和DAC音頻輸出部分)、復(fù)位電路等,采用電池供電,方便學(xué)生隨身攜帶!使學(xué)生在掌握軟件的同時(shí),熟悉單片機(jī)硬件的設(shè)計(jì)制作,鍛煉學(xué)生的動(dòng)手能力,也為單片機(jī)學(xué)習(xí)者和開發(fā)者創(chuàng)造了一個(gè)良好的學(xué)習(xí)條件和開發(fā)新產(chǎn)品的機(jī)會(huì)!61板上有調(diào)試器接口(Probe接口

18、)以及下載線(EZ_Probe)接口,分別可接凌陽(yáng)科技的在線調(diào)試器、簡(jiǎn)易下載線,配合unSP IDE,可方便地在板上實(shí)現(xiàn)程序的下載、在線仿真調(diào)試。61板上的主要功能模塊如下: . SPCE061A單片機(jī)最小系統(tǒng)外圍電路模塊; . 電源輸入模塊; . 音頻電路(包含MIC輸入、DAC音頻功放輸出)模塊; . 按鍵模塊; . I/O端口接口模塊; . 調(diào)試、下載接口模塊;下圖為61板的實(shí)物圖圖3.2 61板實(shí)物圖3.3 超聲波測(cè)距模組超聲波測(cè)距模組是為方便學(xué)生進(jìn)行單片機(jī)接口方面的學(xué)習(xí)專門設(shè)計(jì)的模塊,超聲波測(cè)距模組可以方便地和61板連接,可應(yīng)用在小距離測(cè)距、機(jī)器人檢測(cè)、障礙物檢測(cè)等方面,可用于驗(yàn)證方

19、車輛倒車?yán)走_(dá)以及家居安防系統(tǒng)等應(yīng)用方案驗(yàn)證。下圖 3.3為超聲波測(cè)距模組的結(jié)構(gòu)框圖: 圖. 超聲波測(cè)距模組結(jié)構(gòu)圖主要功能: 三種測(cè)距模式選擇跳線J1(短距、中距、可調(diào)距): 1. 短距:10cm80cm左右(根據(jù)被測(cè)物表面材料決定); 2. 中距:80cm400cm左右(根據(jù)被測(cè)物表面材料決定); 3. 可調(diào):范圍由可調(diào)節(jié)參數(shù)確定;使用方法: 一般應(yīng)用時(shí),只需要用10PIN排線把J8與SPCE061A的IOB低八位接口接起來,同時(shí)設(shè)置好J7、J1、J2跳線就完成硬件的連接了。不同測(cè)距模式的選擇只需改變測(cè)距模式跳線J1的連接方法即可。提供給模組的電源必須在4.5V以上,而且盡量保持電源電壓的穩(wěn)定

20、。模組工作的性能與被測(cè)物表面材料有很大關(guān)系,如毛料、布料對(duì)超聲波的反射率很小,會(huì)嚴(yán)重影響測(cè)量結(jié)果。電源輸入:模組提供了兩種電源輸入方式,一為用61板通過10PIN排線為模組供電(61板上J5選擇5V要求最好不要低于4.5V),此時(shí)要把J9跳到5V的一端;另一為直接為模組供電,通過模組上的電源輸入口J7引入,此時(shí)需要把J9跳線跳到IN的一端。外接電源僅是為了給模組提高超聲波發(fā)射功率、提高后級(jí)運(yùn)放性能用,最高不要超過12V。 模組外接電源接口(J7)以及供電方式選擇跳線(J9)如圖 3.4所示: 圖. 模組外接電源接口及供電方式選擇跳線測(cè)距模式選擇:聲波測(cè)距時(shí),超存在余波干擾問題,所以針對(duì)不同測(cè)距

21、范圍會(huì)有不同的處理方法。模組提供了測(cè)距模式選擇跳線(J1),可以選擇短距測(cè)量模式、中距測(cè)量模式,或距離可調(diào)模式。而針對(duì)前兩種測(cè)量模式,提供了不同參數(shù)的范例程序,跳線選擇不同的模式時(shí),要選用相對(duì)應(yīng)的程序進(jìn)行測(cè)量;跳線選擇LOW時(shí)為近距測(cè)量模式,選擇HIG時(shí)為中距測(cè)量例程,選擇SET時(shí)為距離可調(diào)模式;凌陽(yáng)科技大學(xué)計(jì)劃網(wǎng)站上提供了短距測(cè)量模式和中距測(cè)量模式的完整源程序。 如果用戶對(duì)超聲波測(cè)量原理有較深的了解,可以選用距離可調(diào)(SET)模式。模組測(cè)距模式(測(cè)量距離范圍)選擇跳線J1如圖 3.5所示: 圖. 模組測(cè)距模式選擇跳線使用方式: 使用時(shí),用戶需把前面的電源輸入跳線J7、模式選擇跳線J1設(shè)置好后

22、,還要把跳線J2短接起來,然后利用排線把J8與SPCE061A的IOB口低八位端口相接,即可使用了。使用時(shí)J2跳線和J8跳線的連接方法如下圖 3.6所示: 圖3.6 J2跳線和J8接口的位置示意圖3.4 轉(zhuǎn)接板因?yàn)槭褂枚嘟M超聲波模組,本方案需要使用一塊CD4052模擬開關(guān)制作的轉(zhuǎn)接板。本方案設(shè)計(jì),會(huì)涉及到多路傳感器選通控制,所以為了可靠地實(shí)現(xiàn)硬件的連接,需要制作一個(gè)利用模擬開關(guān)設(shè)計(jì)的轉(zhuǎn)接板。超聲波測(cè)距模組在使用時(shí),只需要兩個(gè)端口就可完成測(cè)距,一個(gè)控制超聲波的發(fā)射,一個(gè)是檢測(cè)超聲波信號(hào)的接收信號(hào);而在超聲波測(cè)距模組中,這兩個(gè)信號(hào)都為數(shù)字信號(hào),對(duì)模擬開關(guān)的要求并不嚴(yán)格,所以選用CD4052作為模擬

23、開關(guān)器件。CD4052相當(dāng)于一個(gè)雙刀四擲開關(guān),開關(guān)接通哪一通道,由輸入的2位地址碼A0、A1來決定。其真值表見下表?!?E”是禁止端,當(dāng)“/E”=1時(shí),各通道均不接通。此外,CD4051還設(shè)有另一個(gè)電源端VEE,以作為電平位移時(shí)使用,從而使得通常在單組電源供電條件下工作的CMOS電路所提供的數(shù)字信號(hào)能直接控制這種多路開關(guān),并使這種多路開關(guān)可傳輸峰峰值達(dá)15V的交流信號(hào)。例如,若模擬開關(guān)的供電電源VDD=5V,VSS=0V,當(dāng)VEE=5V時(shí),只要對(duì)此模擬開關(guān)施加05V的數(shù)字控制信號(hào),就可控制幅度范圍為5V5V的模擬信號(hào)。CD4052的真值表INPUTSCHANNELONA1A0LLLLHLLHH

24、XLHLHXY0A-ZA:Y0B-ZBY1A-ZA:Y1B-ZBY2A-ZA:Y2B-ZBY3A-ZA:Y3B-ZBnone,圖3.7 CD4052的內(nèi)部結(jié)構(gòu)圖圖3.8 CD4052的引腳圖第四章 系統(tǒng)總體方案介紹本系統(tǒng)以SPCE061A為核心,使用凌陽(yáng)科技教育推廣中心的61板,三個(gè)超聲波測(cè)距模組依次排布,組成線陣的傳感器陣列;另外,接有轉(zhuǎn)接板、發(fā)光二極管顯示模塊。系統(tǒng)組成以下圖所示:圖4.1 系統(tǒng)硬件結(jié)構(gòu)圖 SPCE061A單片機(jī)作為主控芯片,通過I/O端口來控制CD4052,以選擇不同的傳感器通道;本方案采用IOB0和IOB1控制CD4052的A0和A1,而IOB2作為檢測(cè)超聲波模組返回的

25、信號(hào),IOB3作為控制超聲波模組發(fā)射超聲波信號(hào)的使能控制端口。這樣通過CD4052的通道切換,就可以利用較少的端口來完成多個(gè)模組的切換使用了。 另外,超聲波測(cè)距模組采用的是脈沖測(cè)量法,其實(shí)是測(cè)量發(fā)射超聲波的時(shí)刻與接收到反射回波信號(hào)的時(shí)刻之間的時(shí)差,利用超聲波在空氣中傳播速度已知的條件,計(jì)算出被測(cè)目標(biāo)與傳感器之間的距離。而為了保證測(cè)量的可靠,檢測(cè)回波信號(hào)時(shí),采用SPCE061A的外部中斷對(duì)回波的上升沿進(jìn)行檢測(cè),而且利用定時(shí)器B進(jìn)行計(jì)時(shí)。在顯示控制方面,系統(tǒng)分別利用IOA8、IOA9、IOA10三個(gè)端口控制三個(gè)發(fā)光二極管。 第五章 系統(tǒng)硬件設(shè)計(jì)5.1 SPCE061A5.1.1 SPCE061A

26、SPCE061A最小系統(tǒng)包括SPCE061A芯片及其外圍基本模塊,外圍基本模塊有:晶振輸入模塊(OSC)、鎖相環(huán)外圍電路(PLL)、復(fù)位電路(RESET)、指示燈(LED)等,如下圖所示。 圖5.1 SPCEA061A最小系統(tǒng)本系統(tǒng),有關(guān)SPCE061A單片機(jī)最小系統(tǒng)的各個(gè)模塊都做在61板中,讀者可以查閱61板的電路原理圖。5.1.2 電源模塊SPCE061A的內(nèi)核供電為3.3V,而I/O端口可接3.3V也可以接5V,所以在電源模塊(61板上)中有一個(gè)端口電平選擇跳線,如圖中的J5,但是為了本系統(tǒng)可以可靠的工作,需要給61板外接5V的電源,并將61板的端口電平選擇為5V,即J5用跳線帽將V5和

27、VDDH短接。下圖為61板上的電源模塊圖。圖5.2 電源模塊由于本系統(tǒng)需要的端口高電平為5V,所以圖 5.2當(dāng)中的J5跳線需要跳到1和2上。5.1.3 放音模塊語(yǔ)音提示。放音利用的是SPCE061A內(nèi)部的DAC,電路如圖 5.3所示。圖中的SPY0030是凌陽(yáng)公司的產(chǎn)品。和LM386相比,SPY0030還是比較有優(yōu)勢(shì)的,比如LM386工作電壓需在4V以上,而SPY0030僅需2.4V (兩顆電池)即可工作;LM386輸出功率100mW以下,SPY0030約700mW。其他特性請(qǐng)參考SPY0030的數(shù)據(jù)手冊(cè)。 圖5.3 放音模塊電路圖5.2 超聲波測(cè)距模組電路原理5.2.1 超聲波諧振頻率發(fā)生電

28、路,調(diào)理電路NE555和電容電阻組成的電路產(chǎn)生40KHz的方波,以使超聲波傳感器產(chǎn)生諧振;而后面的CD4049則對(duì)40KHz頻率信號(hào)進(jìn)行調(diào)理。PLUS_EN1是超聲波信號(hào)發(fā)射的使能控制端口,當(dāng)該端口接低電平時(shí),模組將不能發(fā)射超聲波信號(hào),即40KHz的方波。圖5.4 超聲波諧振頻率發(fā)生電路、調(diào)理電路5.2.2 超聲波回波接受處理電路超聲波接收處理部分電路前級(jí)采用NE5532構(gòu)成10000倍放大器,對(duì)接收信號(hào)進(jìn)行放大;后級(jí)采用LM311比較器對(duì)接收信號(hào)進(jìn)行調(diào)整,比較電壓為L(zhǎng)M311的3管腳處,可由J1跳線選擇不同的比較電壓以選擇不同的測(cè)距模式。在放大器與比較器之間用PNP三極管(8550)作為通路

29、選擇,本方案需要將此通路選擇跳線短接上,即把J2短接,固定使三極管導(dǎo)通即可。圖5.5 超聲波回波接受處理電路5.2.3 超聲波測(cè)距模組電源接口J7為超聲波測(cè)距模組的外部電源接口,最高電壓不要超過12V,J9為電源選擇跳線,VCC_5即為由61板通過10PIN排線引入模組的電源;VCC即為模組的放大器、調(diào)理電路供電電源。當(dāng)用戶使用61板為其供電時(shí),要把VCC與VCC_5V短接(本方案的用法);而使用外部電源時(shí)要把VCC與VCC_IN短接。 圖5.6 外部單獨(dú)電源輸入接口及選擇跳線5.2.4 超聲波測(cè)距模式選擇跳線模組提供了測(cè)距模式選擇跳線J1,可以選擇短距測(cè)量模式、中距測(cè)量模式,或距離可調(diào)模式。

30、跳線選擇LOW時(shí)為近距測(cè)量模式,選擇HIG時(shí)為中距測(cè)量模式;選擇SET時(shí)為距離可調(diào)模式。本方案采用可調(diào)方式,即選擇SET的模式,并將調(diào)節(jié)模組上的電位器,將比較電壓調(diào)至3.53.2V(保證模組測(cè)距能在0.351.5M的范圍都能正常工作即可)。圖5.7 測(cè)距模式選擇跳線5.2.5 超聲波測(cè)距模組接口本方案采用的三個(gè)超聲波測(cè)距模組都是利用其J8接口,每個(gè)模組接出兩個(gè)控制、檢測(cè)端口,然后會(huì)通過CD4052模擬開關(guān)進(jìn)行選通,所以在實(shí)際使用當(dāng)中,是分時(shí)地對(duì)每一個(gè)模組進(jìn)行操作。超聲波測(cè)距模組的J8接口如所圖 5.8示;圖中的VCC_5在本方案當(dāng)中由61板供電,即5V。圖5.8 超聲波測(cè)距模組接口5.3 轉(zhuǎn)接

31、板電路前面已簡(jiǎn)單介紹了轉(zhuǎn)接板的作用,這里介紹一下它的原理圖,如圖 5.9所示。圖中J1直接與61板的J6相接,即與61板的IOB口低八位接口相接,可知圖中的VDD為61板供電,即5V;而A0和A1分別接SPCE061A的IOB0和IOB1,以控制CD4052的兩個(gè)地址位,以控制通道的選通。IOB2接PLUS_B,作為回波信號(hào)的檢測(cè)輸入,不過經(jīng)過CD4052的選通,接到哪一個(gè)模組,由IOB0和IOB1的輸出決定;同樣COM_EN為超聲波測(cè)距模組的信號(hào)發(fā)射使能控制,接到SPCE061A的IOB3。CD4052的另外一端,接出COM_EN1/2/3分別接三個(gè)模組的發(fā)射使能,另外還用三個(gè)10K的電阻下

32、拉到地,以保證沒有選通的模組不會(huì)發(fā)射出超聲波信號(hào)。J2、J3、J4分別接三個(gè)超聲波測(cè)距模組的J8接口。圖5.9 轉(zhuǎn)接板電路原理5.4 顯示電路顯示電路較為簡(jiǎn)單,直接使用三個(gè)I/O口控制三個(gè)發(fā)光二極管。如圖 5.10所示:圖5.10顯示電路第六章 系統(tǒng)軟件設(shè)計(jì)6.1 超聲波測(cè)距原理超聲波脈沖法測(cè)距原理: 聲波在其傳播介質(zhì)中被定義為縱波。當(dāng)聲波受到尺寸大于其波長(zhǎng)的目標(biāo)物體阻擋時(shí)就會(huì)發(fā)生反射;反射波稱為回聲。假如聲波在介質(zhì)中傳播的速度是已知的,而且聲波從聲源到達(dá)目標(biāo)然后返回聲源的時(shí)間可以測(cè)量得到,那么就可以計(jì)算出從聲波到目標(biāo)的距離。這就是本系統(tǒng)的測(cè)量原理。這里聲波傳播的介質(zhì)為空氣,采用不可見的超聲波

33、。 假設(shè)室溫下聲波在空氣中的傳播速度是335.5m/s,測(cè)量得到的聲波從聲源到達(dá)目標(biāo)然后返回聲源的時(shí)間是t秒,距離d可以由下列公式計(jì)算:d=33550(cm/s)t(s) 因?yàn)槁暡ń?jīng)過的距離是聲源與目標(biāo)之間距離的兩倍,聲源與目標(biāo)之間的距離應(yīng)該是d/2。超聲波測(cè)距模組信號(hào): 圖 6.1為超聲波模組上三極管Q1的集電極處測(cè)量的波形圖,此時(shí)J2跳線短接,使Q1始終導(dǎo)通;而傳感器距目標(biāo)面的距離為2米。圖 6.1超聲波信號(hào)測(cè)量圖圖中的波形為示波器抓拍圖,1通道為Q1集電極測(cè)得波形,即上方的波形;通道2為發(fā)射端測(cè)得波形。 圖中可見,接收回路中測(cè)得的超聲波信號(hào)共有兩個(gè)波束,第一個(gè)波束為余波信號(hào),即超聲波接收

34、頭在發(fā)射頭發(fā)射信號(hào)(一組40KHz的脈沖)后,馬上就接收到了超聲波信號(hào),并持續(xù)一段時(shí)間。另一個(gè)波束為有效信號(hào),即經(jīng)過被測(cè)物表面反射的回波信號(hào)。 超聲波測(cè)距時(shí),需要測(cè)的是開始發(fā)射到接收到信號(hào)的時(shí)間差,在上圖中就可看出,需要檢測(cè)的有效信號(hào)為反射物反射的回波信號(hào),故要盡量避免檢測(cè)到余波信號(hào),這也是超聲波檢測(cè)中存在最小測(cè)量盲區(qū)的主要原因。 軟件控制脈沖發(fā)射、檢測(cè)回波信號(hào): 程序設(shè)計(jì)時(shí)需要采用脈沖測(cè)量法,由SPCE061A控制模組發(fā)生40KHz的脈沖信號(hào),每次測(cè)量發(fā)射的脈沖數(shù)至少要12個(gè)完整的40KHz脈沖(程序中為20個(gè)左右)。同時(shí)發(fā)射信號(hào)前要打開計(jì)數(shù)器,進(jìn)行計(jì)時(shí);等計(jì)時(shí)到達(dá)一定值后再開啟檢測(cè)回波信號(hào)

35、,以避免余波信號(hào)的干擾。 采用外部中斷對(duì)回波信號(hào)進(jìn)行檢測(cè)(回波信號(hào)送到單片機(jī)的為一序列方波脈沖)。接收到回波信號(hào)后,馬上讀取計(jì)數(shù)器中的數(shù)值,此數(shù)據(jù)即為需要測(cè)量的時(shí)間差數(shù)據(jù)。為避免測(cè)量數(shù)據(jù)的誤差,程序中對(duì)測(cè)距數(shù)據(jù)的處理方法是:每進(jìn)行一次測(cè)距,利用時(shí)基中斷測(cè)量4次,即取得4組數(shù)據(jù),經(jīng)過處理后得到這一次測(cè)距值。6.2 軟件結(jié)構(gòu)本方案的軟件系統(tǒng)主要包含下列模塊: 超聲波測(cè)距程序:負(fù)責(zé)超聲波測(cè)距的控制、結(jié)果計(jì)算等,另外有部分代碼在中斷服務(wù)程序中,主要碼在UserFunction.c以及IntDocument.c文件。 語(yǔ)音播放程序:語(yǔ)音播放控制,主要代碼在Speech.h,而語(yǔ)音中斷服務(wù)程序在isr.a

36、sm文件中,但為了使語(yǔ)音播放程序在初始化時(shí)不影響用戶的其它中斷,在isr.asm中還有一個(gè)中斷初始化程序。 中斷程序:主要指IntDocument.c文件,包括超聲波測(cè)距的中斷服務(wù)代碼,以及用于顯示刷新的IRQ4中斷服務(wù)程序。 系統(tǒng)程序:主要指system.c文件,包含系統(tǒng)端口初始化、測(cè)量結(jié)果處理、以及顯示刷新程序。 主程序:主控程序負(fù)責(zé)控制整個(gè)系統(tǒng)的工作流程。6.3 各模塊程序說明6.3.1 超聲波測(cè)距程序主程序流程圖以及相關(guān)的程序流程圖如圖 6.2所圖6.2 主程序流程圖測(cè)距控制程序Demo程序中,超聲波測(cè)距的功能函數(shù)流程圖見圖 6.3。用戶需要先調(diào)用測(cè)距初始化函數(shù)InitMeasure(

37、),再調(diào)用該函數(shù)BeginMeasure()即可進(jìn)行一次測(cè)距操作,函數(shù)返回值為測(cè)量結(jié)果。每一次測(cè)距要進(jìn)行四次測(cè)量,這四次的測(cè)量結(jié)果需要經(jīng)過處理后才可得到最終的測(cè)距返回值,而四次測(cè)量的控制以及測(cè)量結(jié)果的處理都是在這個(gè)函數(shù)中完成的,具體的處理方法:每一次測(cè)距中的四次測(cè)量的間隔時(shí)間用16Hz的時(shí)基中斷來控制;每一次測(cè)量,先發(fā)射20個(gè)40KHz脈沖(參見16Hz中斷),然后使能測(cè)量時(shí)間基準(zhǔn)計(jì)數(shù)器,當(dāng)計(jì)數(shù)到4ms時(shí),打開EXT1外部中斷,等待回波反射到接收頭。四次測(cè)量全部完成后,再對(duì)測(cè)量的結(jié)果進(jìn)行處理、換算,以及出錯(cuò)處理,用戶可以根據(jù)不同的應(yīng)用對(duì)數(shù)據(jù)處理部分的程序作適當(dāng)?shù)恼{(diào)整。其中等待4ms的原因:壓電

38、式的電聲傳感器存在余波干擾,而有部份聲波會(huì)沿電路板直接傳到接收頭,經(jīng)接收電路的放大后,系統(tǒng)就有可能把它誤認(rèn)為是反射回來的回波信號(hào)。超聲波測(cè)距的功能函數(shù)流程圖見圖 6.3。用戶需要先調(diào)用測(cè)距初始化函InitMeasure(),再調(diào)用該函數(shù)BeginMeasure()即可進(jìn)行一次測(cè)距操作,函數(shù)返回值為測(cè)量結(jié)果。每一次測(cè)距要進(jìn)行四次測(cè)量,這四次的測(cè)量結(jié)果需要經(jīng)過處理后才可得到最終的測(cè)距返回值,而四次測(cè)量的控制以及測(cè)量結(jié)果的處理都是在這個(gè)函數(shù)中完成的,具體的處理方法:每一次測(cè)距中的四次測(cè)量的間隔時(shí)間用16Hz的時(shí)基中斷來控制;每一次測(cè)量,先發(fā)射20個(gè)40KHz脈沖(參見16Hz中斷),然后使能測(cè)量時(shí)間

39、基準(zhǔn)計(jì)數(shù)器(本方案當(dāng)中使用TimerB),當(dāng)計(jì)數(shù)到預(yù)設(shè)延時(shí)后,打開EXT1外部中斷,等待回波反射到接收頭。四次測(cè)量全部完成后,再對(duì)測(cè)量的結(jié)果進(jìn)行處理、換算,。其中等待預(yù)設(shè)延時(shí)的原因:壓電式的電聲傳感器存在余波干擾,而有部份聲波會(huì)沿電路板直接傳到接收頭,經(jīng)接收電路的放大后,系統(tǒng)就有可能把它誤認(rèn)為是反射回來的回波信號(hào)。圖6.3 超聲波測(cè)距子函數(shù)流程圖16Hz時(shí)基中斷處理程序16Hz的時(shí)基中斷處理程序里,主要進(jìn)行檢查上次測(cè)量是否超時(shí),若超時(shí)便會(huì)轉(zhuǎn)到超時(shí)處理程序;然后進(jìn)行下一次的測(cè)量啟動(dòng),即再次發(fā)送20個(gè)40KHz方波脈沖。16Hz中斷流程圖 6.16Hz中斷流程圖。圖6.4 16Hz中斷流程圖EXT

40、1外部中斷程序 當(dāng)回波觸發(fā)控制器的外部中斷后,程序會(huì)轉(zhuǎn)到EXT1外部中斷服務(wù)子程序中,讀取測(cè)量結(jié)果,并作數(shù)據(jù)的初步處理。流程圖見:圖 6.5 EXT1外部中斷流程圖。圖6.5 EXTI外部中斷流程圖6.3.2 語(yǔ)音播放程序全方案采用A2000的語(yǔ)音壓縮算法,播放A2000格式的語(yǔ)音資源,作為語(yǔ)音提示的功能;為了讓系統(tǒng)在語(yǔ)音播放期間,其它的中斷能照常工作;因此在每一次語(yǔ)音播放前,進(jìn)行中斷的初始化操作,實(shí)際上是利用了SACM語(yǔ)音庫(kù)當(dāng)中使用到的一個(gè)中斷設(shè)置變量:R_InterruptStatus。該變量在語(yǔ)音庫(kù)支持文件:hardware.asm當(dāng)中定義;每次進(jìn)行語(yǔ)音播放的初始化操作時(shí),語(yǔ)音庫(kù)當(dāng)中會(huì)從

41、該變量讀取之前用戶設(shè)置的中斷,并以此為基礎(chǔ)設(shè)置語(yǔ)音庫(kù)進(jìn)行語(yǔ)音播放所需要打開的中斷。所以,中斷的初始化操作,也就是將當(dāng)前中戶的中斷設(shè)置情況寫入變量:R_InterruptStatus當(dāng)中即可。 另外,為了防止語(yǔ)音播報(bào)過于頻繁,本方案采用2Hz時(shí)基進(jìn)行計(jì)數(shù),每次播放語(yǔ)音提示前,先判斷距離上一次語(yǔ)音提示的播放是否超過3秒(即2Hz中斷當(dāng)中計(jì)數(shù)6次以上)?如超過則可以進(jìn)行這次的播放,如果不符合要求,則退出。 圖6.6為語(yǔ)音播放程序的流程圖:圖6.6 語(yǔ)音播放程序流程圖IRQ5的2Hz中斷服務(wù)程序當(dāng)中,對(duì)一個(gè)用于計(jì)數(shù)(時(shí))的變量進(jìn)行累加,以配合語(yǔ)音播放程序當(dāng)中對(duì)兩次播放的時(shí)間間隔的判斷。為了避免出現(xiàn)不斷

42、累加,而溢出清零,在中斷服務(wù)程序當(dāng)中加入了限制,即當(dāng)計(jì)數(shù)的變量計(jì)數(shù)值大于6(即超過了3秒),則關(guān)閉IRQ5的2Hz中斷;等待下次播放語(yǔ)音時(shí)再打開2Hz中斷。2Hz中斷服務(wù)程序的流程圖如圖 6.6:另外,語(yǔ)音播放程序還需要在FIQ的TimerA中斷當(dāng)中,調(diào)用語(yǔ)音播放的中斷服務(wù)程序;由于比較簡(jiǎn)單,這里就不多作介紹,用戶可以查看相關(guān)的實(shí)驗(yàn)指導(dǎo)書,原理上都是一樣的。6.3.3 顯示刷新程序本方案使用IOA8、IOA9、IOA10三個(gè)端口控制三個(gè)發(fā)光二極管(LED)作為顯示,每一個(gè)LED對(duì)應(yīng)一個(gè)超聲波測(cè)距模組,當(dāng)探測(cè)到0.35m1.5m的范圍內(nèi)沒有障礙物時(shí),對(duì)應(yīng)的LED是常滅的;當(dāng)探測(cè)到0.35m1.5

43、m的范圍內(nèi)有障礙物時(shí),對(duì)應(yīng)的LED則以一定頻率閃爍,而且距離越近則閃爍的頻率越高。 系統(tǒng)以IRQ4的1KHz中斷對(duì)顯示進(jìn)行掃描,并設(shè)置有三個(gè)變量保存對(duì)應(yīng)傳感器模組的頻率設(shè)置數(shù)據(jù),即Show_Freq_Set0、Show_Freq_Set1、Show_Freq_Set2。當(dāng)頻率設(shè)置數(shù)據(jù)的值為0時(shí),系統(tǒng)則不對(duì)對(duì)應(yīng)的LED進(jìn)行顯示翻轉(zhuǎn),則對(duì)應(yīng)的LED不會(huì)閃爍;此外,系統(tǒng)還定義有三個(gè)變量(Show_Counter_1KHzx, x=02)作為1KHz的計(jì)數(shù)器,對(duì)應(yīng)用個(gè)LED,而當(dāng)頻率設(shè)置數(shù)據(jù)不為0時(shí),計(jì)數(shù)器會(huì)不斷地計(jì)數(shù)(以1KHz),當(dāng)計(jì)數(shù)器的計(jì)數(shù)值累加到與頻率設(shè)置數(shù)據(jù)一樣時(shí),則會(huì)使對(duì)應(yīng)的LED顯示狀

44、態(tài)進(jìn)行輸出翻轉(zhuǎn),并對(duì)計(jì)數(shù)器進(jìn)行清零,周而復(fù)始。由此可知,當(dāng)頻率設(shè)置數(shù)據(jù)非零時(shí),該數(shù)據(jù)越小,則對(duì)應(yīng)LED的閃爍頻率越高。 圖6.7 顯示刷新程序圖 6.7為在IRQ4的1KHz中斷程序當(dāng)中調(diào)用的顯示刷新程序流程圖。 注:圖 6.7當(dāng)中僅給出了針對(duì)一路傳感器模組狀態(tài)顯示的流程圖,即Show_Freq_Set0的,其它兩個(gè)LED的顯示刷新程序流程圖也一樣類似,這里就不再給出。6.3.4 主程序 由于很多處理操作在中斷當(dāng)中完成了,所以本方案的主程序并不復(fù)雜,圖 6.8為本方案的主程序流程圖。圖中,系統(tǒng)使用的中斷主要指IRQ4的1KHz中斷,而測(cè)量通道選擇即通過I/O端口選通CD4052的通道,以決定當(dāng)

45、前的測(cè)量是對(duì)哪一個(gè)超聲波測(cè)距模組。 圖6.8主程序流程圖通過主程序流程圖可看出,系統(tǒng)是在不斷的對(duì)三組超聲波測(cè)距模組進(jìn)行測(cè)距操作,并將每次測(cè)距的結(jié)果進(jìn)行處理,以更新對(duì)應(yīng)的LED顯示頻率設(shè)置,以及在符合要求的條件下進(jìn)行語(yǔ)音提示播放。在測(cè)距結(jié)果處理程序當(dāng)中,系統(tǒng)會(huì)針對(duì)每一個(gè)通道的測(cè)距結(jié)果進(jìn)行判斷、處理;當(dāng)某一通道的測(cè)距結(jié)果大于1.5m時(shí),則讓對(duì)應(yīng)的LED保持滅的狀態(tài),并將該通道的顯示頻率設(shè)置數(shù)據(jù)設(shè)為0;當(dāng)測(cè)距結(jié)果小于1.5m時(shí),則設(shè)置對(duì)應(yīng)的顯示頻率設(shè)置數(shù)據(jù),數(shù)據(jù)的大小與測(cè)量的結(jié)果按一定比例成正比即可。 當(dāng)測(cè)距結(jié)果處理程序會(huì)對(duì)當(dāng)前的三組超聲波測(cè)距模組所探測(cè)到的障礙物的距離進(jìn)行判斷,當(dāng)有某一組或者一組以

46、上的模組探測(cè)到障礙物在0.35m1.5m的范圍內(nèi)時(shí),會(huì)進(jìn)行語(yǔ)音提示的播放。 圖6.9為測(cè)距結(jié)果處理程序。圖中,后方、左后方以及右后方,表示的是三個(gè)不同的通道的超聲波測(cè)距模組所測(cè)量的區(qū)域。圖6.9 測(cè)距結(jié)果處理程序流程圖第七章 連接與操作說明由于本系統(tǒng)對(duì)電源有一定的要求,所以在制作時(shí),需要給61板接入5V的電源(并非使用電源盒),并將61板上的端口電平選擇跳線J5跳到5V一端,使端口的高電平為5V,并通過61板的I/O接口(J6)給轉(zhuǎn)接板、超聲波測(cè)距模組進(jìn)行供電。 本方案當(dāng)中,可將轉(zhuǎn)接板設(shè)計(jì)如圖 7.1所示;圖中,J1接61板的J6,作為CD4052選通的控制端口,以及超聲波測(cè)距的接口;J2J4

47、分別接三組超聲波測(cè)距模組。圖7.1 轉(zhuǎn)接板示意圖而在使用超聲波測(cè)距模組時(shí),需要注意要將模組上的J2跳線短接,J1測(cè)距模式選擇選在SET可調(diào)選項(xiàng),并將模組上電位器調(diào)節(jié),將比較電壓調(diào)節(jié)至3.5V3.2V之間。調(diào)節(jié)時(shí),可測(cè)量J1靠近電位器的引針上的電壓。另外,還需要將J9跳線設(shè)置在5V一端。 整個(gè)系統(tǒng)的連線示意圖如圖 7.2所示 圖7.2 系統(tǒng)連接示意圖系統(tǒng)硬件連接好以后,便可以將程序下載到61板當(dāng)中;針對(duì)本方案,凌陽(yáng)科技教育推廣中心提供了參考的程序范例,用戶可以直接對(duì)程序進(jìn)行編譯、下載。作說明:操按照前面所述制作好轉(zhuǎn)接板、顯示板后,再連接好61板和各個(gè)模組板,用戶還需要為61板連接上電源(外接5V

48、)、喇叭;如果之前沒有下載本方案的參考程序,用戶還需要將程序下載到61板中,并全速運(yùn)行,然后才可以看到運(yùn)行的情況,并對(duì)其進(jìn)行操作。 下載參考程序 本方案的源代碼提供在資料文件夾當(dāng)中的“參考源代碼”中的“Car_Radar”文件夾當(dāng)中;直接打開其中的Car_Radar.spj文件,即可打開工程;然后對(duì)所打開的工程進(jìn)行編譯。 確認(rèn)編譯無誤后,然后再確認(rèn)一下61板的連線是否連接好,以及下載線/調(diào)度器等的連接;如果一切有關(guān)下載的設(shè)置、連接無誤,便可以下載運(yùn)行程序。 調(diào)試 本系統(tǒng)操作方法比較簡(jiǎn)單,系統(tǒng)工作后用戶無需對(duì)61板進(jìn)行操作;開始測(cè)試時(shí)將開關(guān)至于ON狀態(tài),此時(shí)控制板上的電源指示燈就會(huì)亮起,說明此時(shí)

49、控制器進(jìn)入工作狀態(tài)。將三個(gè)超聲波測(cè)距模組列開,并用物體擋在超聲波測(cè)距模組上探頭正對(duì)的前面,只要距離在0.35m1.5m之內(nèi),就會(huì)有間斷的語(yǔ)音提示,以示對(duì)應(yīng)的模組前面有障礙物。如果測(cè)試時(shí)障礙物與探頭之間的距離在30cm左右,雷達(dá)能夠正常工作,而兩者之間在1.5m時(shí),雷達(dá)不能正常工作,則說明電源的電壓有點(diǎn)低; 如果測(cè)試時(shí)障礙物與探頭之間的距離在30cm左右,雷達(dá)不能夠正常工作,則應(yīng)檢查各連線接口連接是否接好,元器件的完好情況,及電壓穩(wěn)定情況等。按照本方案文檔的接法,定義接1號(hào)模組的超聲波測(cè)距模組為左后方探頭,2號(hào)為正后方探頭,3號(hào)為右后方探頭。當(dāng)各個(gè)位置的模組探頭探測(cè)到障礙物,會(huì)有如表 7-5中所

50、示結(jié)果: 情況一情況二情況三條件正后方、左后方與右后方有障礙物 僅左后方有障礙物僅右后方有障礙物提示語(yǔ)音后方左后方右后方超聲波測(cè)距模組V2.0版與61板進(jìn)行中距測(cè)距應(yīng)用接線實(shí)物圖短/中距測(cè)距注意事項(xiàng)及聲明: 1測(cè)距時(shí)保證傳感器與被測(cè)物間,以及測(cè)量軸線上沒有障礙物; 2盡量保證傳感器軸線與被測(cè)物表面垂直; 3實(shí)際測(cè)距范圍與被測(cè)物表面材料等因素有關(guān),一般不要測(cè)量表面為毛料的物體表面.參考文獻(xiàn)文獻(xiàn)、資料名稱編著者出版單位凌陽(yáng)16位單片機(jī)應(yīng)用基礎(chǔ)M 羅亞非北京:北京航空航天大學(xué)出版社,2003年12月第1版凌陽(yáng)十六位單片機(jī)原理及應(yīng)用M薛鈞義 張彥斌 虞鶴松 樊波.北京:北京航空航天出版社 2003年2月第1版 C程序設(shè)計(jì)M譚浩強(qiáng)北京:清華大學(xué)出版社.1999年12月第2版IBM-PC匯編語(yǔ)言程序設(shè)計(jì)M沈美明 溫冬蟬 北京:清華大學(xué)出版社.2001年8月第二版實(shí)驗(yàn)指導(dǎo)書凌陽(yáng)大學(xué)計(jì)劃資料凌陽(yáng)大學(xué)計(jì)劃網(wǎng)站致謝首先衷心地感謝我的導(dǎo)師左翠紅,畢業(yè)設(shè)計(jì)從選擇課題到完成論文,從理論原理的講解到實(shí)際問題的解決,飽含著左翠紅老師的心血他們嚴(yán)謹(jǐn)細(xì)致、一絲不茍的作風(fēng)一直是我工作、學(xué)習(xí)中的榜樣。左翠紅老師的悉心指導(dǎo)和建議給了我極大的幫助和支持,使我受益匪淺。在論文即將完成之際,我的心情無法平靜,從開始進(jìn)入課題到論文的順利完成,老師、同學(xué)、給了我無言的幫助,在這里請(qǐng)接受我誠(chéng)摯的謝意!

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!