九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

電子技術(shù)課程設(shè)計(jì)-八路搶答器設(shè)計(jì).doc

上傳人:good****022 文檔編號(hào):116438043 上傳時(shí)間:2022-07-05 格式:DOC 頁(yè)數(shù):22 大?。?16KB
收藏 版權(quán)申訴 舉報(bào) 下載
電子技術(shù)課程設(shè)計(jì)-八路搶答器設(shè)計(jì).doc_第1頁(yè)
第1頁(yè) / 共22頁(yè)
電子技術(shù)課程設(shè)計(jì)-八路搶答器設(shè)計(jì).doc_第2頁(yè)
第2頁(yè) / 共22頁(yè)
電子技術(shù)課程設(shè)計(jì)-八路搶答器設(shè)計(jì).doc_第3頁(yè)
第3頁(yè) / 共22頁(yè)

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《電子技術(shù)課程設(shè)計(jì)-八路搶答器設(shè)計(jì).doc》由會(huì)員分享,可在線閱讀,更多相關(guān)《電子技術(shù)課程設(shè)計(jì)-八路搶答器設(shè)計(jì).doc(22頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、- 1 - 1 課程設(shè)計(jì)報(bào)告 課程名稱: 電子技術(shù)課程設(shè)計(jì) 院 部: 電氣與信息工程學(xué)院 專業(yè)班級(jí): 學(xué)生姓名: 指導(dǎo)教師: 完成時(shí)間: 2009年5月19日 報(bào)告成績(jī): 評(píng)閱意見(jiàn): 評(píng)閱教師 日期 I 八八 路路 搶搶 答答 器器 設(shè)設(shè) 計(jì)計(jì) II 目錄目錄 目錄目錄I 摘 要.II ABSTRACT.III 第一章 八路搶答器需求分析1 1.1 背景.1 1.2 目的.1 1.4 設(shè)計(jì)所需元件及工具.2 第二章 八路搶答器框架3 2.1 8 路搶答器設(shè)計(jì)的方案分析3 2.1.1 數(shù)字搶答器總體方框圖3 2.2 采用方案.3 第三章 具體電路設(shè)計(jì)5 3.1 搶答器主體電路.5 3.2 時(shí)序控

2、制電路.5 3.3 報(bào)警電路.5 第四章 芯片介紹7 4.1 74LS3737 4.1.1 功能簡(jiǎn)介.7 4.1.2 74LS373 的真值表(功能表),表中:8 4.2 74LS148 .8 4.2.1 功能簡(jiǎn)介.8 4.2.2 引腳圖.9 4.2.3 真值表.9 4.3 74LS48.10 4.3.1 功能簡(jiǎn)介.10 4.3.2 引腳圖.10 4.3.3 真值表.10 4.4 74LS83.11 4.4.1 功能簡(jiǎn)介.11 4.4.2 引腳圖.11 4.5 74LS32.11 4.5.1 功能簡(jiǎn)介.11 4.5.2 引腳圖11 III 4.5.3 真值表.12 4.6 74LS04.12

3、4.6.1 功能簡(jiǎn)介.12 4.6.2 引腳圖.12 4.6.3 真值表.13 4.7 74LS30.13 4.7.1功能簡(jiǎn)介.13 4.7.2 引腳圖.13 第五章 總結(jié)14 第六章 參考文獻(xiàn)15 第七章 附錄1 附錄 I 元件列表1 I 摘 要 該搶答器主要是基于 7 4 系列集成芯片組成電路各個(gè)部分,成本較低 , 且基本能夠使用于學(xué)校的一些活動(dòng)中。采用 74LS148 編碼器和 74LS373 鎖存器 組成 搶答器的核心部分搶答電路。采用七段共陰極 LED 數(shù)碼管顯示搶答序號(hào)和 定時(shí)時(shí)間,由 74LS48 數(shù)字顯示譯碼管顯示數(shù)碼管。 八路搶答器的設(shè)計(jì)是基于集成元器件的設(shè)計(jì),成本較低 ,且

4、基本能夠使用于學(xué) 校的一些活動(dòng)中。采用 CD4532 編碼器、CD4511 譯碼器和 74LS373 鎖存器組成 搶答器的核心部分搶答電路。采用 555 定時(shí)器和三極管構(gòu)成報(bào)警電路,時(shí)序控 制電路由 74LS121 產(chǎn)生。采用七段共陰極 LED 數(shù)碼管顯示搶答序號(hào),由 74LS48 數(shù)字顯示譯碼管顯示數(shù)碼管。本設(shè)計(jì)的理設(shè)計(jì)主要在 Multisim、protel 和 Protus 上完成。經(jīng)理論分析、Multisim 和 Protus 的仿真得出各器件信號(hào)和參 數(shù)。然后在 protel 上畫出最終電路圖。最后在實(shí)驗(yàn)室完成實(shí)際設(shè)計(jì)。 關(guān)鍵詞:呼叫器;集成元件;Multisim;protus。 II

5、 Abstract Answer eight-way design is based on the design of integrated components, lower cost, and basically be able to use some of the schools activities. Using 74LS148 and 74LS279 RS encoder latch formed the core of the Answers Answer circuit. 74LS192 increase the use of metric / Answer by counter

6、 designs timing of the clock pulse counter circuit to provide. Using 555 timer and alarm circuit transistor constitute, timing control circuit generated by the 74LS121. Seven- Segment LED cathode were used digital tube display the serial number and timing Answer time, figures from the 74LS48 decoder

7、 digital tube display. The rationale for the design of the design mainly in the Multisim, protel and complete Protus. By theoretical analysis, Multisim Simulation and come Protus signals and parameters of the device. Protel on and then draw the final circuit. Finally, the completion of the actual de

8、sign in the laboratory. KeywordsKeywords: pager; integrated components; Multisim; protus. 1 第一章 八路搶答器需求分析 本章是對(duì)八路搶答器設(shè)計(jì)的總體分析,通過(guò)了解設(shè)計(jì)八路搶答器的背景、 目的,來(lái)很好的把握設(shè)計(jì)所要達(dá)到的功能和要求。為以后具體設(shè)計(jì)打下基礎(chǔ)。 1.1 背景 數(shù)字電路產(chǎn)品在生活中有著極其廣泛的應(yīng)用,包括計(jì)算機(jī)、數(shù)字通信、智 能儀器儀表、自動(dòng)控制及航天等領(lǐng)域中。這些給人們帶來(lái)了生活,工作等方面 帶來(lái)了極大的方便。數(shù)字電路的發(fā)展,使得這門課程對(duì)于我們來(lái)說(shuō)是很有必要 學(xué)好。數(shù)字電路設(shè)備實(shí)現(xiàn)簡(jiǎn)單,速度

9、和可靠性好。在這次電子設(shè)計(jì)中,本人制 作八路智能搶答器。搶答器在比賽等場(chǎng)合中不可缺少的設(shè)備。 這次電子設(shè)計(jì)是在孔令爽老師的指導(dǎo)下,全組 9 名同學(xué)一起討論,設(shè)計(jì)出電 路圖,然后在試驗(yàn)老師的帶領(lǐng)下,在實(shí)驗(yàn)室完成了電路板的焊接工作。 1.2 目的 本次設(shè)計(jì)更重要是完成課程設(shè)計(jì),達(dá)到規(guī)定要求。要求如下: 1. 搶答器同時(shí)供 8 名選手或 8 個(gè)代表隊(duì)比賽,分別用 8 個(gè)按鈕 S0 S7 表示。 2. 設(shè)置一個(gè)系統(tǒng)清除和搶答控制開(kāi)關(guān) S,該開(kāi)關(guān)由主持人控制。 3. 搶答器具有鎖存與顯示功能。即選手按動(dòng)按鈕,鎖存相應(yīng)的編號(hào),并在 LED 數(shù)碼管上 顯示,同時(shí)揚(yáng)聲器發(fā)出報(bào)警聲響提示。選手搶答實(shí)行優(yōu)先鎖存,

10、優(yōu)先搶答選手的編號(hào)一直 保持到主持人將系統(tǒng)清除為止。 4. 搶答器具有定時(shí)搶答功能,且一次搶答的時(shí)間由主持人設(shè)定(如 30 秒)。當(dāng)主持人啟 動(dòng)“開(kāi)始“鍵后,定時(shí)器進(jìn)行減計(jì)時(shí),同時(shí)揚(yáng)聲器發(fā)出短暫的聲響,聲響持續(xù)的時(shí)間 2 秒左 右。 5. 參賽選手在設(shè)定的時(shí)間內(nèi)進(jìn)行搶答,搶答有效,定時(shí)器停止工作,顯示器上顯示選手的 編號(hào)和搶答的時(shí)間,并保持到主持人將系統(tǒng)清除為止 6. 如果定時(shí)時(shí)間已到,無(wú)人搶答,本次搶答無(wú)效,系統(tǒng)報(bào)警并禁止搶答,定時(shí)顯示器上顯 示 00。 1.31.3 功能 2 搶答器可以實(shí)現(xiàn) 8 個(gè)人同時(shí)搶答,在搶答時(shí)可以優(yōu)先所存。在第一個(gè)信號(hào) 輸入后,鎖存器實(shí)現(xiàn)所存,別的信號(hào)不能輸入,數(shù)

11、碼顯示管輸出數(shù)字顯示。 1.4 設(shè)計(jì)所需元件及工具 本設(shè)計(jì)需要的工具有: 數(shù)字萬(wàn)用表,電焊,示波器,protues,protel,multism。 本設(shè)計(jì)需要的元件有(元件參數(shù)詳見(jiàn)附錄): 5V 電源,電阻,按鍵,發(fā)光二極管,三極管, 74LS373,74LS04。 74LS148,74LS83,74LS48,74LS32,74LS30 共陰極 7 段 LED,喇叭。 3 第二章 八路搶答器框架 2.1 8 路搶答器設(shè)計(jì)的方案分析 設(shè)計(jì)具有某種特定功能的集成電路板一般比較復(fù)雜,因此,在設(shè)計(jì)前,一 定要通過(guò)對(duì)幾種方案的比較,選擇較為簡(jiǎn)單的。這樣,在正式設(shè)計(jì)是,就能做 到電路簡(jiǎn)化,電路板做出來(lái)較為

12、美觀,尤其重要的是:能達(dá)到事半功倍的目的。 2.1.1 數(shù)字搶答器總體方框圖 如圖所示為總體方框圖。其工作原理為:接通電源后,主持人將開(kāi)關(guān)撥到 “清除“狀態(tài),搶答器處于禁止?fàn)顟B(tài),編號(hào)顯示器滅燈,定時(shí)器顯示設(shè)定時(shí)間; 選手在定時(shí)時(shí)間內(nèi)搶答時(shí),搶答器完成:優(yōu)先判斷、編號(hào)鎖存、編號(hào)顯示、揚(yáng) 聲器提示。當(dāng)一輪搶答之后,定時(shí)器停止、禁止二次搶答、定時(shí)器顯示剩余時(shí) 間。如果再次搶答必須由主持人再次操作“清除“和“開(kāi)始“狀態(tài)開(kāi)關(guān)。 2.22.2 采用方案采用方案 根據(jù)設(shè)定的總體方框圖,我們小組設(shè)計(jì)除了幾種方案。經(jīng)過(guò)大家的一致討 論,實(shí)驗(yàn)室里芯片的取舍,實(shí)施的難易程度,我們選擇了綜合各方面都較為容 易實(shí)現(xiàn)的一

13、種方案。 2.2.1 輸入電路 由 74LS373 鎖存器、74LS148 編碼器、74LS30 編碼器一起組成電路的輸入部分。 4 2.2.2 中間傳輸部分 中間傳輸部分由全加器 74LS83 構(gòu)成。 2.2.3 輸出電路 譯碼器 74LS48 構(gòu)成輸出的主要部分。由它驅(qū)動(dòng)數(shù)碼顯示管形成數(shù)字 顯示部分,蜂鳴器也是由它經(jīng)三極管驅(qū)動(dòng)發(fā)出聲音。 5 第三章 具體電路設(shè)計(jì) 3.1 搶答器主體電路 搶答電路的功能有兩個(gè):一是能分辨出選手按鍵的先后,并鎖存優(yōu)先搶答 者的編號(hào),供譯碼顯示電路用;二是要使其他選手的按鍵操作無(wú)效。選用優(yōu)先 編碼器 74148 和 74LS373 鎖存器可以完成上述功能。其工作

14、原理是:當(dāng)主持人 控制開(kāi)關(guān)處于“清除”位置時(shí),RS 觸發(fā)器的端為低電平,輸出端(4Q1Q)全部 為低電平。于是 74LS48 的 =0,顯示器滅燈;74148 的選通輸入端 =0,74LS148 處于工作狀態(tài),此時(shí)鎖存電路不工作。當(dāng)主持人開(kāi)關(guān)撥到“開(kāi)始” 位置時(shí),優(yōu)先編碼電路和鎖存電路同時(shí)處于工作狀態(tài),即搶答器處于等待工作 狀態(tài),等待輸入端 7. 0 輸入信號(hào),當(dāng)有選手將鍵按下時(shí)(如按下 S5),74LSl48 的輸出=010, =0,經(jīng) RS 鎖存器后,CTR=l, =1,74LS373 處于工作狀態(tài), 4Q3Q2Q=101,經(jīng) 74LS48 譯碼后,顯示器顯示出“5”。此外,CTR=1,使

15、 74LSl48 的端為高電平,74LSl48 處于禁止工作狀態(tài),封鎖了其它按鍵的輸入。 當(dāng)按下的鍵松開(kāi)后,74LSl48 的為高電平,但由于 CTR 維持高電平不變,所以 74LSl48 仍處于禁止工作狀態(tài),其它按鍵的輸入信號(hào)不會(huì)被接收。這就保證了 搶答者的優(yōu)先性以及搶答電路的準(zhǔn)確性。當(dāng)優(yōu)先搶答者回答完問(wèn)題后,由主持 人操作控制開(kāi)關(guān) S,使搶答電路復(fù)位,以便進(jìn)行下一輪搶答。 3.2 時(shí)序控制電路 時(shí)序控制電路是八路搶答器設(shè)計(jì)的關(guān)鍵,因?yàn)樗瓿梢韵氯?xiàng)功能: (1).主持人將控制開(kāi)關(guān)撥到“開(kāi)始“位置時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路 進(jìn)人正常搶答工作狀態(tài)。 (2).當(dāng)參賽選手按動(dòng)搶答鍵時(shí),揚(yáng)

16、聲器發(fā)聲,搶答電路和定時(shí)電路停止工作。 (3).當(dāng)設(shè)定的搶答時(shí)間到,無(wú)人搶答時(shí),揚(yáng)聲器發(fā)聲,同時(shí)搶答電路和定時(shí)電 路停止工作。 3.3 報(bào)警電路 這部分電路我們是用由 74LS148 譯碼器和三極管構(gòu)成。74LS148 構(gòu)成多諧 振蕩器,振蕩頻率 fo143(R12R2)C ,其輸出信號(hào)經(jīng)三極管推動(dòng)揚(yáng) 6 聲器。PR 為控制信號(hào),當(dāng) PR 為高電平時(shí),多諧振蕩器工作;而當(dāng) PR 為低電平 時(shí),電路停振。 7 第四章 芯片介紹 4.1 74ls373 4.1.1 功能簡(jiǎn)介: 74ls373 是常用的地址鎖存器芯片,它實(shí)質(zhì)是一個(gè)是帶三態(tài)緩沖輸出的 8D 觸發(fā)器,在單片機(jī)系統(tǒng)中為了擴(kuò)展外部存儲(chǔ)器,通

17、常需要一塊 74ls373 芯片.本 文將介紹 74ls373 的工作原理,引腳圖(管腳圖),內(nèi)結(jié)構(gòu)圖、主要參數(shù)及在單片 機(jī)系統(tǒng)中的典型應(yīng)用電路.4.1.2 74ls373 工作原理簡(jiǎn)述:(1).1 腳是輸出使能 (OE),是低電平有效,當(dāng) 1 腳是高電平時(shí),不管輸入 3、4、7、8、13、14、17、18 如何,也不管 11 腳(鎖存控制端,G)如何,輸出 2(Q0)、5(Q1)、6(Q2)、9(Q3)、12(Q4)、15(Q5)、16(Q6)、19(Q7)全部呈現(xiàn)高 阻狀態(tài)(或者叫浮空狀態(tài));(2).當(dāng) 1 腳是低電平時(shí),只要 11 腳(鎖存控制端,G)上 出現(xiàn)一個(gè)下降沿,輸出 2(Q0)

18、、5(Q1)、6(Q2)、9(Q3)、12(Q4)、15(Q5)、16(Q6)、 19(Q7)立即呈現(xiàn)輸入腳 3、4、7、8、13、14、17、18 的狀態(tài). 鎖存端 LE 由高變低時(shí),輸出端 8 位信息被鎖存,直到 LE 端再次有效。 當(dāng)三態(tài)門使能信 號(hào) OE 為低電平時(shí),三態(tài)門導(dǎo)通,允許 Q0Q7 輸出,OE 為高電平時(shí),輸出懸空。 內(nèi)部邏輯結(jié)構(gòu)圖 內(nèi)部結(jié)構(gòu)圖 8 4.1.2 74LS373 的真值表(功能表),表中: Output control Enable G D Output L L L H H H L X H L X X H L Q0 Z L低電平; H高電平; X不定態(tài); Q0

19、建立穩(wěn)態(tài)前 Q 的電平; G輸入端,與 8031ALE 連高電平:暢通無(wú)阻低電平:關(guān)門鎖存。 圖中 OE使能端,接地。 當(dāng) G=“1”時(shí),74LS373 輸出端 1Q8Q 與輸入端 1D8D 相同; 當(dāng) G 為下降沿時(shí),將輸入數(shù)據(jù)鎖存。 4.2 74LS148 4.2.1 功能簡(jiǎn)介 74LS148是8:3線優(yōu)先編碼器。它允許多個(gè)輸入信號(hào)同時(shí)有效,但只對(duì)一個(gè)優(yōu)先 級(jí)最高的信號(hào)進(jìn)行編碼。 9 4.2.2 引腳圖 4.2.3 真值表 10 4.3 74LS48 4.3.1 功能簡(jiǎn)介 在優(yōu)先編碼器電路中,允許同時(shí)輸入兩個(gè)以上編碼信號(hào)。不過(guò)在設(shè)計(jì)優(yōu)先編碼 器時(shí),已經(jīng)將所有的輸入信號(hào)按優(yōu)先順序排了隊(duì)。在同

20、時(shí)存在兩個(gè)或兩個(gè)以上 輸入信號(hào)時(shí),優(yōu)先編碼器只按優(yōu)先級(jí)高的輸入信號(hào)編碼,優(yōu)先級(jí)低的信號(hào)則不 起作用。74LS148 是一個(gè)八線-三線優(yōu)先級(jí)編碼器。 4.3.2 引腳圖 4.3.3 真值表 11 4.44.4 74LS8374LS83 4.4.1 功能簡(jiǎn)介 74LS83 是加法器,其輸出為兩個(gè)輸入 A、B 的二進(jìn)制之和。通過(guò)簡(jiǎn)單的級(jí) 聯(lián),可以實(shí)現(xiàn)乘法。 4.4.2 引腳圖 4.5 74LS32 4.5.1 功能簡(jiǎn)介 74LS32 是 4 個(gè)二輸入與非門。 4.5.2 引腳圖 12 4.5.3 真值表 輸入 輸出 A B L 0 0 1 0 1 0 1 0 0 1 1 0 4.6 74LS04 4

21、.6.1 功能簡(jiǎn)介 74ls04 是帶有 6 個(gè)非門的芯片,它的作用是實(shí)現(xiàn)反向。 4.6.2 引腳圖 4.6.3 真值表 輸入輸出 13 4.7 74LS30 4.7.1 功能簡(jiǎn)介 74LS30 是八輸入與非門。 4.7.2 引腳圖 A Y 0 1 1 0 14 第五章 總結(jié) 歷時(shí)兩周的電子技術(shù)課程設(shè)計(jì)已經(jīng)結(jié)束了。雖然只是 2 周的時(shí)間,可我在 這次設(shè)計(jì)中卻是受益匪淺。這次的課程設(shè)計(jì)讓我對(duì)平時(shí)學(xué)習(xí)的理論知識(shí)與實(shí)際 操作有了新的收獲和認(rèn)識(shí),在理論和實(shí)驗(yàn)教學(xué)基礎(chǔ)上進(jìn)一步鞏固已學(xué)基本理論 及應(yīng)用知識(shí)并加以綜合提高,學(xué)會(huì)將知識(shí)應(yīng)用于實(shí)際的方法,提高分析和解決 問(wèn)題的能力。 這學(xué)期一開(kāi)始,就知道有這個(gè)任

22、務(wù)要完成。聽(tīng)以前的學(xué)長(zhǎng)說(shuō)過(guò)這個(gè)東西很 難,因此有些擔(dān)憂。正是因?yàn)閾?dān)憂,就有了更多提前的準(zhǔn)備。很早以前就開(kāi)始 上網(wǎng)查找這方面的資料,去圖書(shū)館翻看有關(guān)的書(shū)籍。有了這些準(zhǔn)備工作,正式 做的時(shí)候雖然依然遇到了麻煩,但也不至于束手無(wú)策了。 在老師的指導(dǎo)下,我們小組一起完成了電路圖的設(shè)計(jì)。設(shè)計(jì)完電路圖,接 下來(lái)的工作就簡(jiǎn)單多了。在實(shí)驗(yàn)室焊接電路板依然困難重重,但經(jīng)過(guò)一次次的 調(diào)試、修改,終于完成了。當(dāng)電路板調(diào)試時(shí)功能都實(shí)現(xiàn)了的那一刻,心里的高 興勁真是無(wú)法用言語(yǔ)形容。 這次設(shè)計(jì)培養(yǎng)了我獨(dú)立動(dòng)手的能力,也使我對(duì)我們專業(yè)的方向有了更深刻 的了解。以后,我一定要多進(jìn)行一些專業(yè)課程的設(shè)計(jì),這樣會(huì)對(duì)自己能力的提 高有很大好處。 15 第六章 參考文獻(xiàn) 1. 鄧元慶,賈鵬.數(shù)字電路與系統(tǒng)設(shè)計(jì).西安電子科技大學(xué)出版社; 2. 歐陽(yáng)星明 編,武漢 華中科技大學(xué)出版社,2002 年 5 月版 3. 李海 編 ,重慶 重慶大學(xué)出版社 1999 年 9 月版. 4. 金維香.謝玉梅.電子測(cè)試技術(shù).湖南大學(xué)出版社。 5. http:/ 6. http:/ 1

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!