九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

《數(shù)字電子技術(shù)基礎(chǔ)》課程設(shè)計說明書-多功能數(shù)字鐘的設(shè)計仿真與制作1.doc

上傳人:good****022 文檔編號:116499507 上傳時間:2022-07-05 格式:DOC 頁數(shù):25 大?。?.13MB
收藏 版權(quán)申訴 舉報 下載
《數(shù)字電子技術(shù)基礎(chǔ)》課程設(shè)計說明書-多功能數(shù)字鐘的設(shè)計仿真與制作1.doc_第1頁
第1頁 / 共25頁
《數(shù)字電子技術(shù)基礎(chǔ)》課程設(shè)計說明書-多功能數(shù)字鐘的設(shè)計仿真與制作1.doc_第2頁
第2頁 / 共25頁
《數(shù)字電子技術(shù)基礎(chǔ)》課程設(shè)計說明書-多功能數(shù)字鐘的設(shè)計仿真與制作1.doc_第3頁
第3頁 / 共25頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《《數(shù)字電子技術(shù)基礎(chǔ)》課程設(shè)計說明書-多功能數(shù)字鐘的設(shè)計仿真與制作1.doc》由會員分享,可在線閱讀,更多相關(guān)《《數(shù)字電子技術(shù)基礎(chǔ)》課程設(shè)計說明書-多功能數(shù)字鐘的設(shè)計仿真與制作1.doc(25頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、武漢理工大學(xué)數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計說明書課程設(shè)計任務(wù)書學(xué)生姓名: 專業(yè)班級: 電信09XX班 指導(dǎo)教師: 工作單位: 信息工程學(xué)院 題 目: 多功能數(shù)字鐘的設(shè)計仿真與制作 初始條件:利用集成譯碼器、計數(shù)器、定時器、數(shù)碼管、脈沖發(fā)生器和必要的門電路等數(shù)字器件實現(xiàn)系統(tǒng)設(shè)計。(也可以使用單片機系統(tǒng)設(shè)計實現(xiàn))要求完成的主要任務(wù): 技術(shù)要求:設(shè)計一個數(shù)字鐘。要求用六位數(shù)碼管顯示時間,格式為00:00:00。具有60進(jìn)制和24進(jìn)制(或12進(jìn)制)計數(shù)功能,秒、分為60進(jìn)制計數(shù),時為24 進(jìn)制(或12進(jìn)制)計數(shù)。有譯碼、七段數(shù)碼顯示功能,能顯示時、分、秒計時的結(jié)果。設(shè)計提供連續(xù)觸發(fā)脈沖的脈沖信號發(fā)生器,具有

2、校時單元、鬧鐘單元和整點報時單元。確定設(shè)計方案,按功能模塊的劃分選擇元、器件和中小規(guī)模集成電路,設(shè)計分電 路,畫出總體電路原理圖,闡述基本原理。時間安排:1、 2011 年 6 月 2728 日,查閱相關(guān)資料,學(xué)習(xí)設(shè)計原理。2、 2011 年 6 月 2829 日, 方案選擇和電路設(shè)計仿真。3、 2011 年 6 月 307月1 日, 電路調(diào)試和設(shè)計說明書撰寫。4、 2011 年 7 月 2 日上交課程設(shè)計成果及報告,同時進(jìn)行答辯。參考文獻(xiàn):1)數(shù)字電子技術(shù)基礎(chǔ)伍時和主編,清華大學(xué)出版社,2009.042)數(shù)字電路實驗與課程設(shè)計呂思忠 施齊云,哈爾濱工程大學(xué)出版社,2001.093)電子技術(shù)基

3、礎(chǔ)(數(shù)字部分)康華光,高等教育出版社,2006.01指導(dǎo)教師簽名: 年 月 日系主任(或責(zé)任教師)簽名: 年 月 日目 錄1 緒論11.1 多功能數(shù)字鐘的設(shè)計要求11.2 設(shè)計目的及意義12 Multisim軟件介紹22.1 Multisim概述22.2 Multisim 10功能簡介22.3 Multisim 10操作步驟43 數(shù)字鐘基本原理與方案設(shè)計53.1 設(shè)計方案原理構(gòu)思53.1.1 設(shè)計主要原理53.1.2 設(shè)計電路原理框圖53.2 多功能數(shù)字鐘總體設(shè)計電路圖63.3 各模塊電路分析73.3.1 1Hz標(biāo)準(zhǔn)脈沖發(fā)生器73.3.2 譯碼顯示電路73.3.3 計數(shù)器電路93.3.4 校時

4、電路103.3.5 鬧鐘電路113.3.6 整點報時電路124 仿真調(diào)試134.1 總體仿真圖134.2 各個功能仿真調(diào)試144.2.1 校時電路仿真調(diào)試144.2.2 鬧鐘電路仿真調(diào)試144.2.3 整點報時電路仿真調(diào)試165 結(jié)果分析與總結(jié)175.1 分析總結(jié)175.2 遇到問題及解決方法176 心得體會187 元件清單198 參考文獻(xiàn)209 附表211 緒論1.1 多功能數(shù)字鐘的設(shè)計要求設(shè)計一個數(shù)字鐘。要求用六位數(shù)碼管顯示時間,格式為00:00:00。具有60進(jìn)制和24進(jìn)制(或12進(jìn)制)計數(shù)功能,秒、分為60進(jìn)制計數(shù),時為24 進(jìn)制(或12進(jìn)制)計數(shù)。有譯碼、七段數(shù)碼顯示功能,能顯示時、

5、分、秒計時的結(jié)果。設(shè)計提供連續(xù)觸發(fā)脈沖的脈沖信號發(fā)生器,具有校時單元、鬧鐘單元和整點報時單元。確定設(shè)計方案,按功能模塊的劃分選擇元、器件和中小規(guī)模集成電路,設(shè)計分電 路,畫出總體電路原理圖,闡述基本原理。1.2 設(shè)計目的及意義1)培養(yǎng)學(xué)生正確的設(shè)計思想,理論聯(lián)系實際的工作作風(fēng),嚴(yán)肅認(rèn)真、實事求是的科 學(xué)態(tài)度和勇于探索的創(chuàng)新精神。2)鍛煉學(xué)生自學(xué)軟件的能力及分析問題、解決問題的能力。3)通過課程設(shè)計,使學(xué)生在理論計算、結(jié)構(gòu)設(shè)計、工程繪圖、查閱設(shè)計資料、標(biāo)準(zhǔn) 與規(guī)范的運用和計算機應(yīng)用方面的能力得到訓(xùn)練和提高。4)鞏固、深化和擴展學(xué)生的理論知識與初步的專業(yè)技能。5)為今后從事電子技術(shù)領(lǐng)域的工程設(shè)計打

6、好基礎(chǔ)基本要求。2 Multisim軟件介紹2.1 Multisim概述Multisim是美國國家儀器(NI)有限公司推出的以Windows為基礎(chǔ)的仿真工具,適用于板級的模擬/數(shù)字電路板的設(shè)計工作。它包含了電路原理圖的圖形輸入、電路硬件描述語言輸入方式,具有豐富的仿真分析能力。工程師們可以使用Multisim交互式地搭建電路原理圖,并對電路進(jìn)行仿真。Multisim提煉了SPICE仿真的復(fù)雜內(nèi)容,這樣工程師無需懂得深入的SPICE技術(shù)就可以很快地進(jìn)行捕獲、仿真和分析新的設(shè)計,這也使其更適合電子學(xué)教育。通過Multisim和虛擬儀器技術(shù),PCB設(shè)計工程師和電子學(xué)教育工作者可以完成從理論到原理圖捕

7、獲與仿真再到原型設(shè)計和測試這樣一個完整的綜合設(shè)計流程。2.2 Multisim 10功能簡介1、NI Multisim 10是美國國家儀器公司(NI,National Instruments)推出的目前Multisim中運用最廣泛版本。2、目前美國NI公司的EWB的包含有電路仿真設(shè)計的模塊Multisim、PCB設(shè)計軟件Ultiboard、布線引擎Ultiroute及通信電路分析與設(shè)計模塊Commsim 4個部分,能完成從電路的仿真設(shè)計到電路版圖生成的全過程。Multisim、Ultiboard、Ultiroute及Commsim 4個部分相互獨立,可以分別使用。Multisim、Ultibo

8、ard、Ultiroute及Commsim 4個部分有增強專業(yè)版(Power Professional)、專業(yè)版(Professional)、個人版(Personal)、教育版(Education)、學(xué)生版(Student)和演示版(Demo)等多個版本,各版本的功能和價格有著明顯的差異。3、NI Multisim 10用軟件的方法虛擬電子與電工元器件,虛擬電子與電工儀器和儀表,實現(xiàn)了“軟件即元器件”、“軟件即儀器”。NI Multisim 10是一個原理電路設(shè)計、電路功能測試的虛擬仿真軟件。 4、NI Multisim 10的元器件庫提供數(shù)千種電路元器件供實驗選用,同時也可以新建或擴充已有的

9、元器件庫,而且建庫所需的元器件參數(shù)可以從生產(chǎn)廠商的產(chǎn)品使用手冊中查到,因此也很方便的在工程設(shè)計中使用。5、NI Multisim 10的虛擬測試儀器儀表種類齊全,有一般實驗用的通用儀器,如萬用表、函數(shù)信號發(fā)生器、雙蹤示波器、直流電源;而且還有一般實驗室少有或沒有的儀器,如波特圖儀、字信號發(fā)生器、邏輯分析儀、邏輯轉(zhuǎn)換器、失真儀、頻譜分析儀和網(wǎng)絡(luò)分析儀等。6、NI Multisim 10具有較為詳細(xì)的電路分析功能,可以完成電路的瞬態(tài)分析和穩(wěn)態(tài)分析、 時域和頻域分析、器件的線性和非線性分析、電路的噪聲分析和失真分析、離散傅里葉分析、電路零極點分析、交直流靈敏度分析等電路分析方法,以幫助設(shè)計人員分析電

10、路的性能。7、NI Multisim 10可以設(shè)計、測試和演示各種電子電路,包括電工學(xué)、模擬電路、數(shù)字電、射頻電路及微控制器和接口電路等。可以對被仿真的電路中的元器件設(shè)置各種故障,如開路、短路和不同程度的漏電等,從而觀察不同故障情況下的電路工作狀況。在進(jìn)行仿真的同時,軟件還可以存儲測試點的所有數(shù)據(jù),列出被仿真電路的所有元器件清單,以及存儲測試儀器的工作狀態(tài)、顯示波形和具體數(shù)據(jù)等。8、NI Multisim 10有豐富的Help功能,其Help系統(tǒng)不僅包括軟件本身的操作指南,更要的是包含有元器件的功能解說,Help中這種元器件功能解說有利于使用EWB進(jìn)行CAI教學(xué)。另外,NI Multisim1

11、0還提供了與國內(nèi)外流行的印刷電路板設(shè)計自動化軟件Protel及電路仿真軟件PSpice之間的文件接口,也能通過Windows的剪貼板把電路圖送往文字處理系統(tǒng)中進(jìn)行編輯排版。支持VHDL和Verilog HDL語言的電路仿真與設(shè)計。9、利用NI Multisim 10可以實現(xiàn)計算機仿真設(shè)計與虛擬實驗,與傳統(tǒng)的電子電路設(shè)計與實驗方法相比,具有如下特點:設(shè)計與實驗可以同步進(jìn)行,可以邊設(shè)計邊實驗,修改調(diào)試方便;設(shè)計和實驗用的元器件及測試儀器儀表齊全,可以完成各種類型的電路設(shè)計與實驗;可方便地對電路參數(shù)進(jìn)行測試和分析;可直接打印輸出實驗數(shù)據(jù)、測試參數(shù)、曲線和電路原理圖;實驗中不消耗實際的元器件,實驗所需

12、元器件的種類和數(shù)量不受限制,實驗成本低,實驗速度快,效率高;設(shè)計和實驗成功的電路可以直接在產(chǎn)品中使用。10、NI Multisim 10易學(xué)易用,便于電子信息、通信工程、自動化、電氣控制類專業(yè)學(xué)生自學(xué)、便于開展綜合性的設(shè)計和實驗,有利于培養(yǎng)綜合分析能力、開發(fā)和創(chuàng)新的能力。2.3 Multisim 10操作步驟1)啟動操作雙擊圖標(biāo),啟動Multisim 10,出現(xiàn)窗口界面。選擇文件/新建/原理圖,即彈出空白的主設(shè)計窗口。2)添加元件打開元件庫工具欄,單擊需要的元件圖標(biāo)按鈕,然后在主設(shè)計電路窗口中適當(dāng)?shù)奈恢?,再次單擊鼠?biāo)左鍵,所需要的元件即可出現(xiàn)在該位置上。雙擊此元件,會出現(xiàn)該元件的對話框,可以設(shè)

13、置元件的標(biāo)簽、編號、數(shù)值和模型參數(shù)。3) 元件的移動選中元件,直接用鼠標(biāo)拖拽要移動的元件。4)元件的復(fù)制、刪除與旋轉(zhuǎn)選中元件,用相應(yīng)的菜單、工具欄或單擊鼠標(biāo)右鍵彈出快捷菜單,進(jìn)行需要的操作。5)放置電源和接地元件選擇“放置信號源按鈕”彈出對話框,可選擇電源和接地元件。6)導(dǎo)線的操作連接。鼠標(biāo)指向某元件的端點,出現(xiàn)小圓點后按下鼠標(biāo)左鍵拖拽到另一個元件的端點,出現(xiàn)小圓點后松開左鍵。刪除。選定該導(dǎo)線,單擊鼠標(biāo)右鍵,在彈出的快捷菜單中單擊“delete”。7)實時仿真左上角菜單欄下方是仿真開關(guān),連接好電路后用鼠標(biāo)左鍵單擊仿真開關(guān),就開始實時仿真。3 數(shù)字鐘基本原理與方案設(shè)計3.1 設(shè)計方案原理構(gòu)思3.

14、1.1 設(shè)計主要原理該設(shè)計主要由以下幾部分組成:震蕩器、分頻器、秒計數(shù)器、分計數(shù)器、時計數(shù)器、BCD-七段顯示譯碼/驅(qū)動器、LED七段顯示數(shù)碼管、時間校準(zhǔn)電路、整點報時電路還有鬧鐘電路。數(shù)字鐘數(shù)字顯示部分,采用譯碼與二極管串聯(lián)電路,將譯碼器、七段數(shù)碼管連接起來,組成十進(jìn)制數(shù)碼顯示電路,即時鐘顯示。要完成顯示需要6個數(shù)碼管,七段的數(shù)碼管需要譯碼器才能正常顯示,然后要實現(xiàn)時、分、秒的計時需要60進(jìn)制計數(shù)器和24進(jìn)制計數(shù)器,在在仿真軟件中發(fā)生信號可以用函數(shù)發(fā)生器仿真,頻率可以隨意調(diào)整。60進(jìn)制可能由10進(jìn)制和6進(jìn)制的計數(shù)器串聯(lián)而成,頻率振蕩器可以由晶體振蕩器分頻來提供,也可以由555定時來產(chǎn)生脈沖并

15、分頻為1Hz。計數(shù)器的輸出分別經(jīng)譯碼器送倒顯示器顯示。計時出現(xiàn)誤差時,可以用校時電路校時、校分。整點報時電路利用邏輯門,使當(dāng)各譯碼器輸出滿足整點時,蜂鳴器導(dǎo)通。鬧鐘電路通過比較器比較當(dāng)前時間與設(shè)計的鬧鐘時間,相等時同樣蜂鳴器導(dǎo)通。3.1.2 設(shè)計電路原理框圖3.2 多功能數(shù)字鐘總體設(shè)計電路圖3.3 各模塊電路分析3.3.1 1Hz標(biāo)準(zhǔn)脈沖發(fā)生器振蕩器可由晶振組成,也可以由555與RC組成的多諧振蕩器。由555定時器得到1kHz的脈沖,功能主要是產(chǎn)生標(biāo)準(zhǔn)秒脈沖信號和提供功能擴展電路所需要的信號。多諧振蕩器也稱無穩(wěn)態(tài)觸發(fā)器,它沒有穩(wěn)定狀態(tài),同時無需外加觸發(fā)脈沖,就能輸出一定頻率的矩形脈沖(自激振蕩

16、)。用555集成電路實現(xiàn)多諧振蕩,需要外接電阻R1、R2和電容C,并外接+5V的直流電源。脈沖頻率為:下圖為標(biāo)準(zhǔn)脈沖發(fā)生器電路圖:3.3.2 譯碼顯示電路數(shù)字鐘的譯碼顯示電路由譯碼器4511BP和共陰極LED七段顯示數(shù)碼管組成,為避免譯碼器輸出的電壓過高,在譯碼器的輸出和數(shù)碼管的輸入之間串聯(lián)一個100的電阻。譯碼驅(qū)動電路將計數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流。4511BP是一個用于驅(qū)動共陰極 LED (數(shù)碼管)顯示器的 BCD 碼七段碼譯碼器,特點如下:具有BCD轉(zhuǎn)換、消隱和鎖存控制、七段譯碼及驅(qū)動功能的CMOS電路能提供較大的拉電

17、流。可直接驅(qū)動LED顯示器。下面是4511BP的邏輯功能表:要使譯碼器能正常工作,LT和BI腳要接高電平,EL要接低電平,譯碼器的輸入接計數(shù)器的輸出端,而譯碼器的輸出端則接對應(yīng)數(shù)碼管的輸入端。在數(shù)字鐘的設(shè)計中,一共需要6塊譯碼顯示器,分別是小時顯示的2塊,分鐘顯示的2塊,秒鐘顯示的2塊,它們在設(shè)置上基本相同,只不過譯碼器的輸入接不同的計數(shù)器。由計數(shù)器得到的4位二進(jìn)制碼的必須通過譯碼后轉(zhuǎn)為人們習(xí)慣的數(shù)字顯示,如12:54:30的二進(jìn)制碼為0001 0010:0101 0100:0011 0000。譯碼之后再驅(qū)動LED七段數(shù)碼管顯示時、分、秒。下圖為其中一塊譯碼顯示電路的連接圖:3.3.3 計數(shù)器

18、電路在數(shù)字鐘的控制電路中,分和秒的控制都是一樣的,都是由一個十進(jìn)制計數(shù)器和一個六進(jìn)制計數(shù)器串聯(lián)而成的。在此次電路的設(shè)計中采用的是統(tǒng)一的器件74LS161N的反饋置數(shù)法來實現(xiàn)十進(jìn)制功能和六進(jìn)制功能,根據(jù)74LS161的結(jié)構(gòu)把輸出端的0101(十進(jìn)制為5)用一個與非門74LS00引到Load端便可置0,這樣就實現(xiàn)了六進(jìn)制計數(shù)。同樣,在輸出端的1001(十進(jìn)制為9)用一個與非門74LS00引到Load端便可置0,這樣就實現(xiàn)了十進(jìn)制計數(shù)。在分和秒的進(jìn)位時,用秒計數(shù)器的Load端接分計數(shù)器的CLK控制時鐘脈沖,脈沖在上升沿來時計數(shù)器開始計數(shù)。時計數(shù)器可由兩個十進(jìn)制計數(shù)器串接并通過反饋接成二十四制計數(shù)器。

19、下圖為分和秒的計數(shù)器電路:下圖為時的計數(shù)器電路:3.3.4 校時電路時鐘出現(xiàn)誤差時,需校準(zhǔn)。當(dāng)數(shù)字鐘接通電源或者計時出現(xiàn)誤差時,需要校正時間。校時是數(shù)字鐘應(yīng)具備的基本功能。對校時電路的要求是,在小時校正時不影響分和秒的正常計數(shù);在分校正時不影響秒和小時的正常計數(shù)。校時方式有快校時和慢校時兩種,快校時是,通過開關(guān)控制,使計數(shù)器對1Hz的校時脈沖計數(shù)。慢校時是用手動產(chǎn)生單脈沖作校時脈沖下圖所示為校時電路和校分電路。其中S1是校分用的控制開關(guān),S2為校時用的控制開關(guān),它們的控制功能下表所示。校時脈沖采用分頻器輸出的1Hz脈沖,當(dāng)S1或S2分別為0時可進(jìn)行快校時。如果校時脈沖由單脈沖產(chǎn)生器提供,則可以

20、進(jìn)行慢校時。Multisim仿真軟件校時的具體設(shè)計方法是:用一個單刀雙擲開關(guān)切換計數(shù)功能與校時功能,另一端接計數(shù)器的脈沖輸入端,開關(guān)置于函數(shù)發(fā)生器這一端便可以校時,置于計數(shù)器的進(jìn)位端便是計時。下圖為校時電路部分電路圖:3.3.5 鬧鐘電路在指定的時刻發(fā)出信號,或驅(qū)動音響電路“鬧時”;或?qū)δ逞b置的電源進(jìn)行接通或斷開“控制”。不管時鬧時還是控制,都要求時間準(zhǔn)確,即信號的開始時刻與持續(xù)時間必須滿足規(guī)定的要求。在本數(shù)字鐘設(shè)計中,選用了四片74LS85三位比較器實現(xiàn)。下表是74LS85的邏輯功能表:74LS85比較器的一對輸入接小時和分鐘時計數(shù)器的輸出,另外一對接四位撥碼開關(guān),當(dāng)小時和分鐘計數(shù)器的輸出與

21、撥碼開關(guān)的值完全相等時,四片比較器輸出都為高電平,經(jīng)四位與非門后輸出到蜂鳴器,在一分鐘內(nèi)蜂鳴器導(dǎo)通,例如,撥碼開關(guān)依次為0000,0111,0011,1001,此時表示為7點59分,當(dāng)計數(shù)器的輸出也為7點59分時,OAEQB輸出都為高電平。右圖是鬧鐘電路圖:3.3.6 整點報時電路整點報時的功能要求是,每當(dāng)數(shù)字鐘計時快到整點時發(fā)出聲響。當(dāng)時鐘還有十秒到整點時,蜂鳴器開始響,持續(xù)時間為十秒。此電路是通過五個與門和一個蜂鳴器來實現(xiàn)的,每當(dāng)分鐘的十位為5,個位為9,并且秒鐘的十位為5時,蜂鳴器接高電平,開始工作,直到到達(dá)整點停止。下圖為整點報時電路圖:4 仿真調(diào)試4.1 總體仿真圖開始仿真后,秒鐘部

22、分開始以1s為周期開始遞增,并能向分鐘和時鐘部分進(jìn)位,完成數(shù)字鐘的基本功能。4.2 各個功能仿真調(diào)試4.2.1 校時電路仿真調(diào)試當(dāng)數(shù)字鐘時間不準(zhǔn)確時,則需要手動調(diào)整時間。如下圖所示,單刀雙擲開關(guān)J2、J1分別對應(yīng)著時鐘與分鐘部分。當(dāng)開關(guān)擲向上方時,數(shù)字鐘正常工作;當(dāng)J1擲向下方時,則分鐘部分開始以1s為周期開始遞增,當(dāng)J2擲向下方時,時鐘部分則開始以1s為周期開始遞增。秒鐘部分則由J7控制,當(dāng)開關(guān)閉合時秒鐘以1s為周期遞增;當(dāng)開關(guān)閉合時,秒鐘則停止走動。調(diào)整時撥動開關(guān),當(dāng)調(diào)整到正確時間后將開關(guān)撥回即可。4.2.2 鬧鐘電路仿真調(diào)試將撥碼開關(guān)調(diào)至如圖狀態(tài),即將鬧鐘定為16:25,則開始仿真。當(dāng)數(shù)

23、字鐘還未運行到16:25時,探針不亮,即鬧鐘不會響起,狀態(tài)如下圖所示:當(dāng)時鐘與分鐘到達(dá)16:25時,在此一分鐘內(nèi),探針亮,即鬧鐘響,過了此時間后,鬧鐘停。鬧鐘響時的電路仿真如下圖所示:4.2.3 整點報時電路仿真調(diào)試當(dāng)數(shù)字鐘離整點還差10秒以上時間時,探針不亮,蜂鳴器無反應(yīng),狀態(tài)如下圖所示:當(dāng)數(shù)字鐘離整點差10秒時,探針亮起,蜂鳴器響,如此持續(xù)10秒,過了整點后停止。整點報時的電路仿真如下圖所示:5 結(jié)果分析與總結(jié)5.1 分析總結(jié)1) 數(shù)字鐘計數(shù)功能測試:接通電源,在秒脈沖的作用下,電路開始計數(shù),且時、分、秒 分別為24、60、60進(jìn)制。計數(shù)功能符合設(shè)計要求。2) 校時功能測試:在顯示時鐘時間

24、時,按動時鐘調(diào)時、時鐘調(diào)分按鈕開關(guān)時,時、分均 可以調(diào)節(jié),且不按動時,計數(shù)電路能正常工作,校時功能符合設(shè)計要求。3) 鬧鐘功能測試:通過撥碼開關(guān)調(diào)節(jié)定時的時和分,當(dāng)時鐘到達(dá)定時時刻時,蜂鳴器響 起,探針發(fā)亮,鬧鐘時間為1分鐘。鬧鐘功能符合設(shè)計要求。4) 整點報時功能測試:在每一個小時時刻,當(dāng)時鐘到達(dá)59分50秒時,電路發(fā)出整點報 時信號,持續(xù)10秒鐘后,報時停止。整點報時功能符合設(shè)計要求。5) 通過以上測試,表明此次設(shè)計的電路符合了實驗設(shè)計要求,達(dá)到了實驗?zāi)康摹?.2 遇到問題及解決方法1) 開始仿真時,發(fā)現(xiàn)探針一直是亮的狀態(tài),不符合理論分析結(jié)果。 解決方法:忘了在鬧鐘電路與蜂鳴器之間加上一個

25、非門,使鬧鐘電路出現(xiàn)反效果。加 上非門后問題解決。2) 調(diào)動撥碼開關(guān)定時后,發(fā)現(xiàn)鬧鐘不能在指定時刻響起。 解決方法:弄錯了撥碼開關(guān)與時間的對應(yīng)關(guān)系,即撥碼開關(guān)表示的時間與自己所想的 時間不對應(yīng)。理解后,重新調(diào)試可以成功。3) 一直無法使蜂鳴器發(fā)出聲音,不能實現(xiàn)鬧鐘與報時提醒功能。 解決方法:在蜂鳴器那里接上一個指示探針,探針亮即表示蜂鳴器響,探針不亮即蜂 鳴器不響。6 心得體會7 元件清單序 號名 稱數(shù) 量規(guī) 格1LM555CM224511BP63SEVEN-SEG-COM-K6474LS161N6574LS85N4674LS00N6774LS04N7874LS08N5974LS20N1107

26、4LS32N1117400N4127408N4137432N214BUZZER115SWITCH3SPDT 2/SPST 116DSWPK-4417RESISTOR50452/50 2/100 42/10M 418CAPACITOR4100nF 2/10uF 28 參考文獻(xiàn)1) 數(shù)字電子技術(shù)基礎(chǔ)伍時和主編,清華大學(xué)出版社,2009.042) 電子技術(shù)基礎(chǔ)(數(shù)字部分)康華光,高等教育出版社,2006.013) 數(shù)字電路實驗與課程設(shè)計呂思忠 施齊云,哈爾濱工程大學(xué)出版社,2001.094) 數(shù)字電子技術(shù)基礎(chǔ)楊頌華 馮毛官,西安電子科技大學(xué)出版社,2003.035) 電子線路設(shè)計 試驗 測試(第三版

27、)謝自美,華中科技大學(xué)出版社,2006.086) 電子技術(shù)基礎(chǔ)實驗&Multisim 10仿真蔣黎紅,黃培根,電子工業(yè)出版社,2010.079 附表本科生課程設(shè)計成績評定表姓 名性 別專業(yè)、班級課程設(shè)計題目:課程設(shè)計答辯或質(zhì)疑記錄:成績評定依據(jù):最終評定成績(以優(yōu)、良、中、及格、不及格評定) 指導(dǎo)教師簽字: 年 月 日ut2ApOdfXXc02GyBKsKCWw97MrqqWhoj5TL15Zt6jIPYytYCummtARp3v1N5luizi3xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2tLF0Jf1gK74IUXyBmtIVR9

28、7CkrfVqULT5fn2t6MpJR6rbzVPSortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZqPmvrw9zKupxf8WFUG9l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS8Zrd2Yktj8l6jEAzVAjnfbtryLvsm6oFbfToXVRFFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2VVLRKN7R8psz1BN6oRic5

29、hU5Z6HCxAYqyNPOG8duYbAwqSl20CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZFTFVYAARY7lHSSxJ10V3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZxtyrF7d20FbmabcfiixrQKUsVNXBPPFUXyQ1fJSKFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQK

30、V2DzWtMPDJQkBcXmovdsjqCTJagjMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqGnsyIB2ilq3SIQrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKKlGm4woDzplhtRr2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ ut2ApOdfXXc02GyBKsKCWw97MrqqWhoj5TL15Zt

31、6jIPYytYCummtARp3v1N5luizi3xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2tLF0Jf1gK74IUXyBmtIVR97CkrfVqULT5fn2t6MpJR6rbzVPSortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZqPmvrw9zKupxf8WFUG9l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS8Zrd2Yktj8l6

32、jEAzVAjnfbtryLvsm6oFbfToXVRFFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2VVLRKN7R8psz1BN6oRic5hU5Z6HCxAYqyNPOG8duYbAwqSl20CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZFTFVYAARY7lHSSxJ10V3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZxtyrF7d20Fbm

33、abcfiixrQKUsVNXBPPFUXyQ1fJSKFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQKV2DzWtMPDJQkBcXmovdsjqCTJagjMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqGnsyIB2ilq3SIQrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKKlGm4woDzplhtRr2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ23

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!