九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

畢業(yè)設(shè)計(論文)-51單片機(jī)的簡易低頻信號源設(shè)計.doc

上傳人:good****022 文檔編號:116534192 上傳時間:2022-07-05 格式:DOC 頁數(shù):52 大?。?.42MB
收藏 版權(quán)申訴 舉報 下載
畢業(yè)設(shè)計(論文)-51單片機(jī)的簡易低頻信號源設(shè)計.doc_第1頁
第1頁 / 共52頁
畢業(yè)設(shè)計(論文)-51單片機(jī)的簡易低頻信號源設(shè)計.doc_第2頁
第2頁 / 共52頁
畢業(yè)設(shè)計(論文)-51單片機(jī)的簡易低頻信號源設(shè)計.doc_第3頁
第3頁 / 共52頁

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《畢業(yè)設(shè)計(論文)-51單片機(jī)的簡易低頻信號源設(shè)計.doc》由會員分享,可在線閱讀,更多相關(guān)《畢業(yè)設(shè)計(論文)-51單片機(jī)的簡易低頻信號源設(shè)計.doc(52頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、III 提供全套畢業(yè)論文,歡迎咨詢 上海電力學(xué)院上海電力學(xué)院 本科畢業(yè)設(shè)計(論文) 題 目: 簡易低頻信號源的設(shè)計 院 系: 計算機(jī)與信息工程學(xué)院 專業(yè)年級: 電子科學(xué)與技術(shù)專業(yè) 學(xué)生姓名: 學(xué)號: 指導(dǎo)教師: 2012 年 6 月 8 日 I I 簡易低頻信號源的設(shè)計 摘要 信號發(fā)生器亦稱函數(shù)發(fā)生器,是一種能產(chǎn)生各種函數(shù)波形的儀器。在現(xiàn)代電子學(xué)的各 個領(lǐng)域,常常需要高精度和頻率方便可調(diào)的信號發(fā)生器。產(chǎn)生信號頻率越高,波形種類越 多,發(fā)生器的性能越好,但隨之而來的是,器件成本和技術(shù)要求也會大大提高。利用單片 機(jī)通過程序設(shè)計方法來產(chǎn)生低頻信號,其頻率底線很低,具有線路相對簡單、結(jié)構(gòu)緊湊、 體積小

2、、價格低廉、頻率穩(wěn)定度高、抗干擾能力強(qiáng)、用途廣泛等優(yōu)點。 本次畢業(yè)設(shè)計設(shè)計完成了一個基于單片機(jī)控制的低頻信號源。系統(tǒng)主要由單片機(jī)控制 電路、DA 轉(zhuǎn)換電路、鍵盤控制電路及顯示電路構(gòu)成。AT89S51 單片機(jī)用于完成對鍵盤輸 入信號的處理以及波形的數(shù)字信號的產(chǎn)生,數(shù)模轉(zhuǎn)換器 DAC0832 用于將單片機(jī)輸出的信 號的數(shù)字量轉(zhuǎn)換成模擬量,運算放大器 LM324 將 DAC0832 輸出的電流轉(zhuǎn)換成電壓,七段 數(shù)碼管用于顯示當(dāng)前信號的周期,通過鍵盤按鍵改變輸出的波形和頻率,通過電位器調(diào)節(jié) 波形的幅值。這樣便完成了低頻信號源的設(shè)計,編寫軟件程序?qū)崿F(xiàn)了方波、正弦波、鋸齒 波、三角波的發(fā)生和輸出,實現(xiàn)了頻

3、率可調(diào)并顯示,幅值可調(diào),通過 wave 仿真器仿真和 示波器顯示得到了正確的波形輸出。 關(guān)鍵詞:低頻信號源,單片機(jī),D/A 轉(zhuǎn)換,鍵盤控制 II THE DESIGN OF SIMPLE LOW-FREQUENCY SIGNAL SOURCE ABSTRACT Signal generator, also known as a function generator, is a instrument that can produce a variety of function waveform . In all areas of modern electronics, high precisio

4、n and frequency adjustable signal generator is always required. The higher signal frequency the generator generates,the more waveforms it produces, the better the performance of the generator,.but the accompanying device cost and technical requirements will greatly improve.Using microcontroller prog

5、ramming method to generate low-frequency signals can get low frequency bottom line, with the line is relatively simple, compact structure, small size, low cost, high frequency stability, strong anti-interference ability and other advantages. The graduation project designed a microcontroller-based co

6、ntrol, low-frequency signal source. The system mainly consists of single-chip control circuit, the DA conversion circuit, the keyboard control circuit and display circuit. AT89S51 microcontroller is used to complete the generation of digital signal and waveform . DAC0832 is used to convert the digit

7、al amount to analogical amount. LM324 is used to convert current to voltage.Segment digital tube is used to display the current signal frequency.We use the keyboard keys to change the output waveform and frequency, a potentiometer to adjust the amplitude of the waveform. Doing all the things above i

8、 complete the design of low-frequency signal source,.Then comleting the software programs to achieve a square wave, sine wave, sawtooth, triangle wave.Through wave simulation tools and oscilloscope ,the correct waveform is generated. Key words: low-frequency signal, source single-chip, D / A convert

9、er, keyboard control III 目錄 1. 緒論.1 1.1.課題研究的背景1 1.2.國內(nèi)外波形發(fā)生器技術(shù)進(jìn)展2 1.3.課題研究的目的及意義3 1.4.論文的主要研究內(nèi)容3 2. 系統(tǒng)的硬件設(shè)計.4 2.1. 系統(tǒng)的硬件設(shè)計思路4 2.1.1. 方案一.4 2.1.2. 方案二.5 2.1.3. 方案三.5 2.2. 硬件電路原理及原理框圖6 2.2.1 時鐘電路6 2.2.1. 復(fù)位電路.7 2.3. 系統(tǒng)的硬件模塊設(shè)計8 2.3.1. 主控模塊.8 2.3.2. DA 轉(zhuǎn)換模塊.12 2.3.3. 電流轉(zhuǎn)換模塊.16 2.3.4. 電壓調(diào)節(jié)模塊.18 2.3.5. 波

10、形轉(zhuǎn)換和頻率調(diào)節(jié)模塊.19 2.3.6. 頻率顯示模塊.19 2.3.7. 電路原理圖.21 3. 系統(tǒng)的軟件設(shè)計.23 3.1. 主流程圖23 3.2. 各功能模塊子程序24 4. 系統(tǒng)調(diào)試.27 4.1. 仿真工具27 4.2. 調(diào)試流程27 5. 總結(jié).30 致謝31 參考文獻(xiàn)32 1 1 緒論緒論 1.1.課題研究的背景 波形發(fā)生器是能夠產(chǎn)生大量標(biāo)準(zhǔn)信號和用戶定義信號,并保證高精度、高穩(wěn)定性、可 重復(fù)性和易操作性的電子儀器。函數(shù)波形發(fā)生器具有連續(xù)相位變換和頻率穩(wěn)定性等優(yōu)點, 不僅可以模擬各種復(fù)雜信號,還可對頻率、幅值、相移、波形進(jìn)行動態(tài)、及時的控制,并 能夠與其它儀器進(jìn)行通訊,組成自動

11、測試系統(tǒng),因此被廣泛用于自動控制系統(tǒng)、震動激勵 、通訊和儀器儀表領(lǐng)域。 在 70 年代前,信號發(fā)生器主要有兩類:正弦波和脈沖波,而函數(shù)發(fā)生器介于兩類之間 ,能夠提供正弦波、余弦波、方波、三角波、上弦波等幾種常用標(biāo)準(zhǔn)波形,產(chǎn)生其它波形 時,需要采用較復(fù)雜的電路和機(jī)電結(jié)合的方法。這個時期的波形發(fā)生器多采用模擬電子技 術(shù),而且模擬器件構(gòu)成的電路存在著尺寸大、價格貴、功耗大等缺點,并且要產(chǎn)生較為復(fù) 雜的信號波形,電路結(jié)構(gòu)非常復(fù)雜。同時,主要表現(xiàn)為兩個突出問題,一是通過電位器的 調(diào)節(jié)來實現(xiàn)輸出頻率的調(diào)節(jié),因此很難將頻率調(diào)到某一固定值;二是脈沖的占空比不可調(diào) 節(jié)。 在 70 年代后,微處理器的出現(xiàn),可以利

12、用處理器、A/D/和 D/A,硬件和軟件使波形發(fā) 生器的功能擴(kuò)大,產(chǎn)生更加復(fù)雜的波形。這時期的波形發(fā)生器多以軟件為主,實質(zhì)是采用 微處理器對 DAC 的程序控制,就可以得到各種簡單的波形。 90 年代末,出現(xiàn)幾種真正高性能、高價格的函數(shù)發(fā)生器、但是 HP 公司推出了型號為 HP770S 的信號模擬裝置系統(tǒng),它由 HP8770A 任意波形數(shù)字化和 HP1776A 波形發(fā)生軟件 組成。HP8770A 實際上也只能產(chǎn)生 8 中波形,而且價格昂貴。不久以后,Analogic 公司推 出了型號為 Data-2020 的多波形合成器,Lecroy 公司生產(chǎn)的型號為 9100 的任意波形發(fā)生器 等。 早在

13、1978 年,由美國 Wavetek 公司和日本東亞電波工業(yè)公司公布了最高取樣頻率為 5 MHz,可以形成 256 點(存儲長度)波形數(shù)據(jù),垂直分辨率為 8bit,主要用于振動、醫(yī)療、材 料等領(lǐng)域的第一代高性能信號源,經(jīng)過將近 30 年的發(fā)展,伴隨著電子元器件、電路、及生 產(chǎn)設(shè)備的高速化、高集成化,波形發(fā)生器的性能有了飛速的提高。變得操作越來越簡單而 2 輸出波形的能力越來越強(qiáng)。波形操作方法的好壞,是由波形發(fā)生器控制軟件質(zhì)量保證的, 編輯功能增加的越多,波形形成的操作性越好1。 到了二十一世紀(jì),隨著集成電路技術(shù)的高速發(fā)展,出現(xiàn)了多種工作頻率可過 GHz 的 D DS 芯片,同時也推動了函數(shù)波形

14、發(fā)生器的發(fā)展,2003 年,Agilent 的產(chǎn)品 33220A 能夠產(chǎn) 生 17 種波形,最高頻率可達(dá)到 20M,2005 年的產(chǎn)品 N6030A 能夠產(chǎn)生高達(dá) 500MHz 的頻 率,采樣的頻率可達(dá) 1.25GHz。由上面的產(chǎn)品可以看出,函數(shù)波形發(fā)生器發(fā)展很快2。 1.2.國內(nèi)外波形發(fā)生器技術(shù)進(jìn)展 (1)過去由于低頻率應(yīng)用的范圍比較狹小,輸出波形頻率的提高,使得波形發(fā)生器能 應(yīng)用于越來越廣的領(lǐng)域。波形發(fā)生器軟件的開發(fā)正使波形數(shù)據(jù)的輸入變得更加方便和容易 。波形發(fā)生器通常允許用一系列的點、直線和固定的函數(shù)段把波形數(shù)據(jù)存入存儲器。同時 可以利用一種強(qiáng)有力的數(shù)學(xué)方程輸入方式,復(fù)雜的波形可以由幾個

15、比較簡單的公式復(fù)合成 v=f(t)形式的波形方程的數(shù)學(xué)表達(dá)式產(chǎn)生。從而促進(jìn)了函數(shù)波形發(fā)生器向任意波形發(fā)生器的 發(fā)展,各種計算機(jī)語言的飛速發(fā)展也對任意波形發(fā)生器軟件技術(shù)起到了推動作用。目前可 以利用可視化編程語言(如 Visual Basic, Visual C 等)編寫任意波形發(fā)生器的軟面板,這樣 允許從計算機(jī)顯示屏上輸入任意波形,來實現(xiàn)波形的輸入3。 (2)與 VXI 資源結(jié)合。目前,波形發(fā)生器由獨立的臺式儀器和適用于個人計算機(jī)的 插卡以及新近開發(fā)的 VXI 模塊。由于 VXI 總線的逐漸成熟和對測量儀器的高要求,在很 多領(lǐng)域需要使用 VXI 系統(tǒng)測量產(chǎn)生復(fù)雜的波形,VXI 的系統(tǒng)資源提供了

16、明顯的優(yōu)越性,但 由于開發(fā) VXI 模塊的周期長,而且需要專門的 VXI 機(jī)箱的配套使用,使得波形發(fā)生器 VX I 模塊僅限于航空、軍事及國防等大型領(lǐng)域。在民用方面,VXI 模塊遠(yuǎn)遠(yuǎn)不如臺式儀器方 便。 (3)隨著信息技術(shù)蓬勃發(fā)展,臺式儀器在走了一段下坡路之后,又重新繁榮起來。不 過現(xiàn)在新的臺式儀器的形態(tài)和幾年前己有很大不同。這些新一代臺式儀器具有多種特性, 可以執(zhí)行多種功能。而且外形尺寸與價格,都比過去的類似產(chǎn)品減少了一半。 目前我國己經(jīng)開始研制波形發(fā)生器,并取得了可喜的成果。但總的來說,我國波形發(fā) 生器還沒有形成真正的產(chǎn)業(yè)。就日前國內(nèi)的成熟產(chǎn)品來看,多為一些 PC 儀器插卡,獨立 的儀器和

17、 VXI 系統(tǒng)的模塊很少,并且我國目前在波形發(fā)生器的種類和性能都與國外同類產(chǎn) 品存在較大的差距,因此加緊對這類產(chǎn)品的研制迫在眉睫。當(dāng)今世界在以電子信息技術(shù)為 3 前提下推動了社會跨躍式的進(jìn)步,科學(xué)技術(shù)的飛速發(fā)展日新月異帶動了各國生產(chǎn)力的大規(guī) 模提高。由此可見科技已成為各國競爭的核心,尤其是電子信息技術(shù)更顯得尤為重要,在 國民生產(chǎn)各部門電子信息技術(shù)得到了廣泛的應(yīng)用。 1.3.課題研究的目的及意義 目前,市場上的信號發(fā)生器多種多樣,一般按頻帶分為以下幾種:超高頻:頻率在 1 MHz 以上,可達(dá)幾十兆赫茲。高頻:幾百 KHZ 到幾 MHZ。低頻:頻率為幾十 HZ 到幾百 KHZ。超低頻:頻率為零點幾

18、赫茲到幾百赫茲。超高頻信號發(fā)生器,產(chǎn)生波形一般用 LC 振蕩電路。高頻、低頻和超低頻信號發(fā)生器,大多使用文氏橋振蕩電路,即 RC 振蕩電路 ,通過改變電容和電阻值,改變頻率。用以上原理設(shè)計的信號發(fā)生器,其輸出波形一般只 有兩種,即正弦波和脈沖波,其零點不可調(diào),而且價格也比較貴,一般在幾百元左右。在 實際應(yīng)用中,超低頻波和高頻波一般是不用的,一般用中頻,即幾十 HZ 到幾十 KHZ。基 于以上用途,本次畢業(yè)論文要設(shè)計一個低頻信號源,用單片機(jī),加上一片 D/A 轉(zhuǎn)換芯片, 就可以做成一個簡單的信號發(fā)生器,其頻率可以由單片機(jī)通過程序控制。我們可以把產(chǎn)生 各種波形的程序,寫在 ROM 中,裝入本機(jī),按

19、用戶的選擇,運行不同的程序,產(chǎn)生不同 的波形。輸出端加上一些電壓變換電路,就完成了一個頻率、幅值均可調(diào)的多功能信號發(fā) 生器的設(shè)計。這樣的機(jī)器體積小,而且價格便宜,耗電少,頻率適中,便于攜帶。 1.4.論文的主要研究內(nèi)容 本文結(jié)合國內(nèi)外研究成果,比較幾種常用的低頻信號源。設(shè)計基于單片機(jī)的簡易低頻 信號源系統(tǒng)。論文內(nèi)容主要分為以下幾部分。 第 1 章:介紹低頻信號源的研究背景,目的,意義以及研究內(nèi)容。 第 2 章:分析概括幾種設(shè)計思路,設(shè)計系統(tǒng)的總原理圖,分模塊介紹系統(tǒng)的硬件電路 連接和各部分相應(yīng)的硬件功能。 第 3 章:詳細(xì)介紹設(shè)計中用到的器件的性能。 第 4 章:介紹軟件工作流程,分模塊介紹各

20、個子程序。 第 5 章:介紹調(diào)試流程。 4 2. 系統(tǒng)的硬件設(shè)計系統(tǒng)的硬件設(shè)計 2.1.系統(tǒng)的硬件設(shè)計思路 依據(jù)應(yīng)用場合和需要實現(xiàn)的波形種類,波形發(fā)生器的具體指標(biāo)要求會有所不同。依據(jù) 不同的設(shè)計要求選取不同的設(shè)計方案。通常,波形發(fā)生器需要實現(xiàn)的波形有正弦波、方波 、三角波和鋸齒波。有些場合可能還需要任意波形的產(chǎn)生。各種波形共有的指標(biāo)有:波形 的頻率、幅度要求、頻率穩(wěn)定度、準(zhǔn)確度等。對于不同波形,具體的指標(biāo)要求也會有所差 異,例如,占空比是脈沖波形特有的指標(biāo)。波形發(fā)生器的設(shè)計方案多種多樣,大致可以分 為三大類:純硬件設(shè)計法、純軟件設(shè)計法和軟硬件結(jié)合設(shè)計法5。 2.1.1. 方案 波形發(fā)生器設(shè)計早

21、期采用純硬件法,波形發(fā)生器的設(shè)計主要是采用運算放大器加分立 元件來實現(xiàn)。實現(xiàn)的波形比較單一,主要為正弦波、方波和三角波。工作原理也相對簡單 :首先產(chǎn)生正弦波,然后通過波形變換(正弦波通過比較器產(chǎn)生方波,方波經(jīng)過積分器變?yōu)?三角波)實現(xiàn)方波和三角波。在各種波形后加上一級放大電路,可以使輸出波形的幅度達(dá)到 要求,通過開關(guān)電路實現(xiàn)不同輸出波形的切換,改變電路的具體參數(shù)可以實現(xiàn)頻率、幅度 和占空比的改變。通過對電路結(jié)構(gòu)的優(yōu)化及所用元器件的嚴(yán)格選取可以提高電路的頻率穩(wěn) 定性和準(zhǔn)確度。純硬件法中,正弦波的設(shè)計是基礎(chǔ),實現(xiàn)方法也比較多,電路形式一般有 LC、RC 和石英晶體振蕩器三類。LC 振蕩器適宜于產(chǎn)生

22、幾 Hz 至幾百 MHz 的高頻信號; 石英晶體振蕩器能產(chǎn)生幾百 kHz 至幾十 MHz 的高頻信號且穩(wěn)定度高;對于頻率低于幾 M Hz,特別是在幾百 Hz 時,常采用 RC 振蕩電路。RC 振蕩電路又分為文氏橋振蕩電路、雙 T 網(wǎng)絡(luò)式和移相式振蕩電路等類型。其中,以文氏橋振蕩電路最為常用。目前,實現(xiàn)波形 發(fā)生器最簡單的方法是采用單片集成的函數(shù)信號發(fā)生器。它是將產(chǎn)生各種波形的功能電路 集成優(yōu)化到一個集成電路芯片里,外加少量的電阻、電容元件來實現(xiàn)。采用這種方法的突 出優(yōu)勢是電路簡單,實現(xiàn)方便,精度高,性能優(yōu)越;缺點是功能較全的集成芯片價格較貴 。實際中應(yīng)用較多的單片函數(shù)信號發(fā)生器有 MAX038

23、(最高頻率可達(dá) 40MHz)和 ICL8038(最 高頻率為 300kHz)。 5 2.1.2. 方案二 波形發(fā)生器設(shè)計的純軟件法波形發(fā)生器的設(shè)計還可以采用純軟件的方法來實現(xiàn)。虛擬 儀器使傳統(tǒng)儀器發(fā)生了革命性的變化,是 21 世紀(jì)測試儀器領(lǐng)域技術(shù)發(fā)展的重要方向。它以 計算機(jī)為基礎(chǔ),軟件為核心,沒有傳統(tǒng)儀器那樣具體的物理結(jié)構(gòu)。在計算機(jī)上實現(xiàn)儀器的 虛擬面板,通過軟件設(shè)計實現(xiàn)和改變儀器的功能。例如用圖形化編程工具 LabVIEW 來實 現(xiàn)任意波形發(fā)生器的功能:在 LabVIEW 軟件的前面板通過拖放控件,設(shè)計儀器的功能面 板(如波形顯示窗口,波形選擇按鍵,波形存儲回放等工作界面),在軟件的后面板直

24、接拖 放相應(yīng)的波形函數(shù)并進(jìn)行參數(shù)設(shè)置或直接調(diào)用編程函數(shù)來設(shè)計任意波形以實現(xiàn)波形產(chǎn)生功 能;完成的軟件打包后,可脫離編程環(huán)境獨立運行。實現(xiàn)任意波形發(fā)生器的功能。采用純 軟件的虛擬儀器設(shè)計思路可以使設(shè)計簡單、高效,僅改變軟件程序就可以輕松實現(xiàn)波形功 能的改變或升級。從長遠(yuǎn)角度來看,純軟件法成本較低。軟件法的缺點是波形的響應(yīng)速度 和精度遜色于硬件法。 2.1.3. 方案三 1. 軟硬件結(jié)合法軟硬件結(jié)合的波形發(fā)生器設(shè)計方法同時兼具軟硬件設(shè)計的優(yōu)勢:既具 有純硬件設(shè)計的快速、高性能,同時又具有軟件控制的靈活性、智能性。如以單片機(jī)和單 片集成函數(shù)發(fā)生器為核心。輔以鍵盤控制、液晶顯示等電路,設(shè)計出智能型函數(shù)

25、波形發(fā)生 器,采用軟硬件結(jié)合的方法可以實現(xiàn)功能較全、性能更優(yōu)的波形發(fā)生器,同時還可以擴(kuò)展 波形發(fā)生器的功能,比如通過軟件編程控制實現(xiàn)波形的存儲、運算、打印等功能,采用 USB 接口設(shè)計。使波形發(fā)生器具有遠(yuǎn)程通信功能等。目前,實驗、科研和工業(yè)生產(chǎn)中使用 的信號源大多采用此方法來實現(xiàn)。 2. 純硬件設(shè)計法功能較單一,波形改變困難、控制的靈活性不夠,不具備智能性,其 中由運算放大器加分立元件組成的波形發(fā)生器,除在學(xué)生實驗訓(xùn)練中使用外,基本不被采 用。純軟件設(shè)計法實現(xiàn)簡單,程序改變及功能升級靈活,但實現(xiàn)的波形精度及響應(yīng)速度不 如硬件法高。純軟件法主要適用于對波形精度、響應(yīng)速度要求不是很高的場合。相比之

26、下, 軟硬件結(jié)合的方法可以設(shè)計出性能最優(yōu)、功能擴(kuò)展靈活、控制智能化的新一代的波形發(fā)生 器,可以滿足教學(xué)、科研、工業(yè)生產(chǎn)等各方面對波形發(fā)生器性能有較高要求的應(yīng)用場合。 綜合以上幾種設(shè)計方案,本設(shè)計采用方案三的方法軟硬件設(shè)計法。其方案能夠產(chǎn)生 很好的波形,也易實現(xiàn)。 6 2.2.硬件電路原理及原理框圖 波形的產(chǎn)生是通過 AT89S51 單片機(jī)執(zhí)行某一波形發(fā)生程序,向 D/A 轉(zhuǎn)換器的輸入端 按一定的規(guī)律發(fā)生數(shù)據(jù),從而在 D/A 轉(zhuǎn)換電路的輸出端得到相應(yīng)的電壓波形。 DAC0832 有三種聯(lián)接方式。一種是兩級緩沖器型,即輸入數(shù)據(jù)經(jīng)過兩級緩沖器型。輸 入數(shù)據(jù)經(jīng)過兩級緩沖器后,送 D/A 轉(zhuǎn)換電路。第二

27、種是單級緩沖器型,輸入數(shù)據(jù)經(jīng)輸入寄 存器直接送入 DAC 寄存器,然后送 D/A 轉(zhuǎn)換電路。第三種是兩個緩沖器直通,輸入數(shù)據(jù) 直接送 D/A 轉(zhuǎn)換電路進(jìn)行轉(zhuǎn)換。本電路采用直通方式,原理框圖如下: 圖 2-1 原理框圖 2.2.1 時鐘電路 單片機(jī)的時鐘信號通常用兩種電路形式得到:內(nèi)部振蕩和外部振蕩方式。 在引腳 XTAL1 和 XTAL2 外接晶體振蕩器或陶瓷諧振蕩器,構(gòu)成了內(nèi)部振蕩方式。由 于單片機(jī)內(nèi)部有一個高增益反相放大器,當(dāng)外接晶振后,就構(gòu)成了自積振蕩,并產(chǎn)生振蕩 時鐘脈沖。晶振通常選用 6MHZ、12MHZ、或 24MHZ。電容 C1、C2 的主要作用是幫助振 蕩器起振,其值的大小對振

28、蕩器頻率有微調(diào)作用,典型值為 C1=C2=30pF。 頻率顯示模塊 單片機(jī) 時鐘電路 波形轉(zhuǎn)換 和頻率調(diào) 節(jié)模塊 復(fù)位電路 數(shù)模轉(zhuǎn)換模塊 電流轉(zhuǎn)換模塊 電壓調(diào)節(jié)模塊 7 圖 2-2 時鐘部分電路圖 2.2.1. 復(fù)位電路 AT89S51 單片機(jī)的復(fù)位引腳 RST(全稱 RESET)出現(xiàn) 2 個機(jī)器周期以上的高電平時,根 據(jù)應(yīng)用的要求,復(fù)位操作通常有兩種基本形式:上電復(fù)位和上電或開關(guān)復(fù)位。上電復(fù)位要 求接通電源后,自動實現(xiàn)復(fù)位操作。上電或開關(guān)復(fù)位要求電源接通后,單片機(jī)自動復(fù)位, 并且在單片機(jī)運行期間,用開關(guān)操作也能使單片機(jī)復(fù)位。上電后,由于電容 C3 的充電和 反相門的作用,使 RST 持續(xù)一段

29、時間的高電平。當(dāng)單片機(jī)已在運行當(dāng)中時,按下復(fù)位鍵 K 后松開,也能使 RST 為一段時間的高電平,從而實現(xiàn)上電或開關(guān)復(fù)位的操作。 圖 2-3 上電自動復(fù)位電路 圖 2-4 上電或開關(guān)復(fù)位電 路 單片機(jī)的復(fù)位操作使單片機(jī)進(jìn)入初始化狀態(tài),其中包括使程序計數(shù)器 PC0000H,這 表明程序從 0000H 地址單元開始執(zhí)行。單片機(jī)冷啟動后,片內(nèi) RAM 為隨機(jī)值,運行中的 復(fù)位操作不改變片內(nèi) RAM 區(qū)中的內(nèi)容,21 個特殊功能寄存器復(fù)位后的狀態(tài)為確定值統(tǒng)復(fù) 位是任何微機(jī)系統(tǒng)執(zhí)行的第一步,使整個控制芯片回到默認(rèn)的硬件狀態(tài)下。51 單片機(jī)的復(fù) 位是由 RESET 引腳來控制的,此引腳與高電平相接超過 2

30、4 個振蕩周期后,51 單片機(jī)即進(jìn) 入芯片內(nèi)部復(fù)位狀態(tài),而且一直在此狀態(tài)下等待,直到 RESET 引腳轉(zhuǎn)為低電平后,才檢 8 查 EA 引腳是高電平或低電平,若為高電平則執(zhí)行芯片內(nèi)部的程序代碼,若為低電平便會 執(zhí)行外部程序。51 單片機(jī)在系統(tǒng)復(fù)位時,將其內(nèi)部的一些重要寄存器設(shè)置為特定的值,至 于內(nèi)部 RAM 內(nèi)部的數(shù)據(jù)則不變。 本次畢業(yè)設(shè)計中由于對手動復(fù)位的要求不大,故采用了上電自動復(fù)位電路。 2.3.系統(tǒng)的硬件模塊設(shè)計 2.3.1. 主控模塊 采用 ATMEL 公司生產(chǎn)的 AT89S51 單片機(jī)作為系統(tǒng)的控制器。51 系列的單片機(jī)的使 用簡單,軟件編程靈活。自由度大,可用軟件編程實現(xiàn)各種算法

31、和邏輯控制,并且功耗低、 體積小、技術(shù)成熟和成本低。在設(shè)計中,AT89S51 芯片主要用作處理鍵盤輸入的信息,輸 出相應(yīng)波形需要的數(shù)字量,輸出顯示模塊需要的位選信號和要顯示的數(shù)據(jù)。 下面是對 AT89S51 芯片的簡介: 2.3.1.1. AT89S51 內(nèi)部結(jié)構(gòu)概述: AT89S51 單片機(jī)芯片集成了以下幾個基本組成部分。 1. 一個 8 位的 CPU 2. 256B 單元內(nèi)數(shù)據(jù)存儲器(RAM) 3. 4KB 片內(nèi)程序存儲器(ROM) 4. 4 個 8 位并行 I/O 接口 P0P3。 5. 兩個定時/計數(shù)器。 6. 5 個中斷源的中斷管理控制系統(tǒng)。 7. 一個全雙工串行 I/O 口 UAR

32、T(通用異步接收、發(fā)送器) 8. 一個片內(nèi)振蕩器和時鐘產(chǎn)生電路。 2.3.1.2. 管腳說明6: VCC:電源電壓輸入端。 GND:電源地。 P0 口:口:P0 口為一個 8 位漏級開路雙向 I/O 口,每腳可吸收 8TTL 門電流。當(dāng) P1 口的管腳 9 第一次寫 1 時,被定義為高阻輸入。P0 能夠用于外部程序數(shù)據(jù)存儲器,它可以被定義為數(shù) 據(jù)/地址的低八位。在 FIASH 編程時,P0 口作為原碼輸入口,當(dāng) FIASH 進(jìn)行校驗時,P0 輸出原碼,此時 P0 外部必須被拉高。 P1 口:口:P1 口是一個內(nèi)部提供上拉電阻的 8 位雙向 I/O 口,P1 口緩沖器能接收輸出 4TTL 門電流

33、。P1 口管腳寫入 1 后,被內(nèi)部上拉為高,可用作輸入,P1 口被外部下拉為低電平 時,將輸出電流,這是由于內(nèi)部上拉的緣故。在 FLASH 編程和校驗時,P1 口作為第八位 地址接收。 P2 口:口:P2 口為一個內(nèi)部上拉電阻的 8 位雙向 I/O 口,P2 口緩沖器可接收,輸出 4 個 TTL 門電流,當(dāng) P2 口被寫“1”時,其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入 時,P2 口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2 口當(dāng)用于外部 程序存儲器或 16 位地址外部數(shù)據(jù)存儲器進(jìn)行存取時,P2 口輸出地址的高八位。在給出地 址“1”時,它利用內(nèi)部上拉優(yōu)勢,當(dāng)對外部

34、八位地址數(shù)據(jù)存儲器進(jìn)行讀寫時,P2 口輸出其 特殊功能寄存器的內(nèi)容。P2 口在 FLASH 編程和校驗時接收高八位地址信號和控制信號。 P3 口:口:P3 口管腳是 8 個帶內(nèi)部上拉電阻的雙向 I/O 口,可接收輸出 4 個 TTL 門電流。當(dāng) P3 口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低 電平,P3 口將輸出電流(ILL)這是由于上拉的緣故。P3 口除了作為普通 I/O 口,還有第 二功能: P3.0 RXD(串行輸入口) P3.1 TXD(串行輸出口) P3.2 /INT0(外部中斷 0) P3.3 /INT1(外部中斷 1) P3.4 T0(T0

35、定時器的外部計數(shù)輸入) P3.5 T1(T1 定時器的外部計數(shù)輸入) P3.6 /WR(外部數(shù)據(jù)存儲器的寫選通) P3.7 /RD(外部數(shù)據(jù)存儲器的讀選通) P3 口同時為閃爍編程和編程校驗接收一些控制信號。 I/O 口作為輸入口時有兩種工作方式,即所謂的讀端口與讀引腳。讀端口時實際上并不從 外部讀入數(shù)據(jù),而是把端口鎖存器的內(nèi)容讀入到內(nèi)部總線,經(jīng)過某種運算或變換后再寫回 到端口鎖存器。只有讀端口時才真正地把外部的數(shù)據(jù)讀入到內(nèi)部總線。89C51 的 10 P0、P1、P2、P3 口作為輸入時都是準(zhǔn)雙向口。除了 P1 口外 P0、P2、P3 口都還有其他的 功能。 RST:復(fù)位輸入端,高電平有效。

36、當(dāng)振蕩器復(fù)位器件時,要保持 RST 腳兩個機(jī)器周期的高 電平時間。 ALE/PROG:地址鎖存允許/編程脈沖信號端。當(dāng)訪問外部存儲器時,地址鎖存允許的輸出 電平用于鎖存地址的低位字節(jié)。在 FLASH 編程期間,此引腳用于輸入編程脈沖。在平時, ALE 端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的 1/6。因此它可用作對 外部輸出的脈沖或用于定時目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲器時,將跳過 一個 ALE 脈沖。如想禁止 ALE 的輸出可在 SFR8EH 地址上置 0。此時,ALE 只有在執(zhí)行 MOVX,MOVC 指令是 ALE 才起作用。另外,該引腳被略微拉高。如果微處理器在

37、外部 執(zhí)行狀態(tài) ALE 禁止,置位無效。 PSEN:外部程序存儲器的選通信號,低電平有效。在由外部程序存儲器取指期間,每個 機(jī)器周期兩次/PSEN 有效。但在訪問外部數(shù)據(jù)存儲器時,這兩次有效的/PSEN 信號將不出 現(xiàn)。 EA/VPP:外部程序存儲器訪問允許。當(dāng)/EA 保持低電平時,則在此期間外部程序 存儲器(0000H-FFFFH) ,不管是否有內(nèi)部程序存儲器。注意加密方式 1 時,/EA 將內(nèi)部鎖 定為 RESET;當(dāng)/EA 端保持高電平時,此間內(nèi)部程序存儲器。在 FLASH 編程期間,此引 腳也用于施加 12V 編程電源(VPP) 。 XTAL1:片內(nèi)振蕩器反相放大器和時鐘發(fā)生器的輸入端

38、。 XTAL2:片內(nèi)振蕩器反相放大器的輸出端。 11 圖 2-5 AT89S51 單片機(jī)引腳 2.3.1.3. CPU 結(jié)構(gòu) CPU 是單片機(jī)的核心部件。它由運算器和控制器等部件組成。 1. 運算器 運算器以完成二進(jìn)制的算術(shù)/邏輯運算部件 ALU 為核心。它可以對半字節(jié)(4)、單字 節(jié)等數(shù)據(jù)進(jìn)行操作。例如,能完成加、減、乘、除、加 1、減 1、BCD 碼十進(jìn)制調(diào)整、比 較等算術(shù)運算,完成與、或、異或、求反、循環(huán)等邏操作,操作結(jié)果的狀態(tài)信息送至狀態(tài) 寄存器。 運算器還包含有一個布爾處理器,用以處理位操作。它以進(jìn)位標(biāo)志位 C 為累加器,可 執(zhí)行置位、復(fù)位、取反、位判斷轉(zhuǎn)移,可在進(jìn)位標(biāo)志位與其他可位

39、尋址的位之間進(jìn)行位數(shù) 據(jù)傳誦等操作,還可以完成進(jìn)位標(biāo)志位與其他可位尋址的位之間進(jìn)行邏輯與、或操作。 2. 程序計數(shù)器 PC PC 是一個 16 位的計數(shù)器,用于存放一條要執(zhí)行的指令地址,尋址范圍為 64kB,PC 有自動加 1 功能,即完成了一條指令的執(zhí)行后,其內(nèi)容自動加 1。 3. 指令寄存器 指令寄存器用于存放指令代碼。CPU 執(zhí)行指令時,由程序存儲器中讀取的指令代碼送 如指令寄存器,經(jīng)指令譯碼器譯碼后由定時有控制電路發(fā)出相應(yīng)的控制信號,完成指令功 能。 2.3.1.4.存儲器和特殊功能寄存器 1. 存儲器(Memory)是計算機(jī)系統(tǒng)中的記憶設(shè)備,用來存放程序和數(shù)據(jù)。計算機(jī)中的全 部信息,

40、包括輸入的原始數(shù)據(jù)、計算機(jī)程序、中間運行結(jié)果和最終運行結(jié)果都保存在存儲 器中。它根據(jù)控制器指定的位置存入和取出信息。 2. 特殊功能寄存器 特殊功能寄存器(SFR)的地址范圍為 80HFFH。在 MCS51 中,除程序計數(shù)器 PC 和 四個工作寄存器區(qū)外,其余 21 個特殊功能寄存器都在這 SFR 塊中。其中 5 個是雙字節(jié)寄 存器,它們共占用了 26 個字節(jié)。各特殊功能寄存器的符號和地址見附表 2。其中帶號的 可位尋址。特殊功能寄存器反映了 8051 的狀態(tài),實際上是 8051 的狀態(tài)字及控制字寄存器。 12 用于 CPUPSW 便是典型一例。這些特殊功能寄存器大體上分為兩類,一類與芯片的引

41、腳有 關(guān),另一類作片內(nèi)功能的控制用。與芯片引腳有關(guān)的特殊功能寄存器是 P0P3,它們實際 上是 4 個八位鎖存器(每個 I/O 口一個) ,每個鎖存器附加有相應(yīng)的輸出驅(qū)動器和輸入緩沖 器就構(gòu)成了一個并行口。MCS51 共有 P0P3 四個這樣的并行口,可提供 32 根 I/O 線, 每根線都是雙向的,并且大都有第二功能。其余用于芯片控制的寄存器中,累加器 A、標(biāo) 志寄存器 PSW、數(shù)據(jù)指針 DPTR 等的功能前已提及。 2.3.2. DA 轉(zhuǎn)換模塊 在實現(xiàn) D/A 轉(zhuǎn)換時,主要涉及下面幾個性能參數(shù)7: 分辨率。分辨率是指最小輸出電壓(對應(yīng)于輸入數(shù)字量最低位增 1 所引起的輸出電 壓增量)和最大

42、輸出電壓(對應(yīng)于輸入數(shù)字量所有有效位全為 1 時的輸出電壓)之比,例 如,4 位 DAC 的分辨率為 1/(24-1)=1/15=6.67%(分辨率也常用百分比來表示) 。8 位 DAC 的分辨率為 1/255=0.39%。顯然,位數(shù)越多,分辨率越高。 轉(zhuǎn)換精度。如果不考慮 D/A 轉(zhuǎn)換的誤差,DAC 轉(zhuǎn)換精度就是分辨率的大小,因此, 要獲得高精度的 D/A 轉(zhuǎn)換結(jié)果,首先要選擇有足夠高分辨率的 DAC。D/A 轉(zhuǎn)換精度分為 絕對和相對轉(zhuǎn)換精度,一般是用誤差大小表示。DAC 的轉(zhuǎn)換誤差包括零點誤差、漂移誤差、 增益誤差、噪聲和線性誤差、微分線性誤差等綜合誤差。絕對轉(zhuǎn)換精度是指滿刻度數(shù)字量 輸入

43、時,模擬量輸出接近理論值的程度。它和標(biāo)準(zhǔn)電源的精度、權(quán)電阻的精度有關(guān)。相對 轉(zhuǎn)換精度指在滿刻度已經(jīng)校準(zhǔn)的前提下,整個刻度范圍內(nèi),對應(yīng)任一模擬量的輸出與它的 理論值之差。它反映了 DAC 的線性度。通常,相對轉(zhuǎn)換精度比絕對轉(zhuǎn)換精度更有實用性。 相對轉(zhuǎn)換精度一般用絕對轉(zhuǎn)換精度相對于滿量程輸出的百分?jǐn)?shù)來表示,有時也用最低位 (LSB)的幾分之幾表示。例如,設(shè) VFS 為滿量程輸出電壓 5V,n 位 DAC 的相對轉(zhuǎn)換精 度為0.1%,則最大誤差為0.1%VFS=5mV;若相對轉(zhuǎn)換精度為1/2LSB,LSB=1/2n,則 最大相對誤差為1/2n+1VFS。 非線性誤差。D/A 轉(zhuǎn)換器的非線性誤差定義為

44、實際轉(zhuǎn)換特性曲線與理想特性曲線之 間的最大偏差,并以該偏差相對于滿量程的百分?jǐn)?shù)度量。轉(zhuǎn)換器電路設(shè)計一般要求非線性 誤差不大于1/2LSB。 轉(zhuǎn)換速率/建立時間。轉(zhuǎn)換速率實際是由建立時間來反映的。建立時間是指數(shù)字量為 13 滿刻度值(各位全為 1)時,DAC 的模擬輸出電壓達(dá)到某個規(guī)定值(比如,90%滿量程或 1/2LSB 滿量程)時所需要的時間。建立時間是 D/A 轉(zhuǎn)換速率快慢的一個重要參數(shù)。很顯 然,建立時間越大,轉(zhuǎn)換速率越低。不同型號 DAC 的建立時間一般從幾個毫微秒到幾個 微秒不等。若輸出形式是電流,DAC 的建立時間是很短的;若輸出形式是電壓,DAC 的 建立時間主要是輸出運算放大器

45、所需要的響應(yīng)時間。 根據(jù)對上述參數(shù)的綜合考慮,由于 DAC0832 有如下特征,本設(shè)計選定 DAC0832 芯片, 其簡介如下: 2.3.2.1.DAC0832 的內(nèi)部結(jié)構(gòu) DAC0832 中有兩級鎖存器,第一級鎖存器稱為輸入寄存器,它的鎖存信號為 ILE;第 二級鎖存器稱為 DAC 寄存器,它的鎖存信號為傳輸控制信號。因為有兩級鎖存器, DAC0832 可以工作在雙緩沖器方式,即在輸出模擬信號的同時采集下一個數(shù)字量,這樣能 有效地提高轉(zhuǎn)換速度。此外,兩級鎖存器還可以在多個 D/A 轉(zhuǎn)換器同時工作時,利用第二 級鎖存信號來實現(xiàn)多個轉(zhuǎn)換器同步輸出。 LE 為高電平和為低電平時,為高電平,輸入寄存

46、器的輸出跟隨輸入而變化;此后,當(dāng) 由低變高時,為低電平,資料被鎖存到輸入寄存器中,這時的輸入寄存器的輸出端不再跟 隨輸入資料的變化而變化。對第二級鎖存器來說,和同時為低電平時,為高電平,DAC 寄 存器的輸出跟隨其輸入而變化;此后,當(dāng)由低變高時,變?yōu)榈碗娖剑瑢⑤斎爰拇嫫鞯馁Y料 鎖存到 DAC 寄存器中。 2.3.2.2.DAC0832 的引腳特性 DAC0832 是 20 引腳的雙列直插式芯片。各引腳的特性如圖 2.6: 14 圖 2-6 DAC0832 引腳圖 CS片選信號,和允許鎖存信號 ILE 組合來決定是否起作用,低有效。 ILE允許鎖存信號,高有效。 WR1寫信號 1,作為第一級鎖存

47、信號,將輸入資料鎖存到輸入寄存器(此時,必 須和 ILE 同時有效) ,低有效。 WR2寫信號 2,將鎖存在輸入寄存器中的資料送到 DAC 寄存器中進(jìn)行鎖存(此時, 傳輸控制信號必須有效)低有效。 XFER傳輸控制信號,低有效。 DI7DI08 位數(shù)據(jù)輸入端。 IOUT1模擬電流輸出端 1。當(dāng) DAC 寄存器中全為 1 時,輸出電流最大,當(dāng) DAC 寄存器中全為 0 時,輸出電流為 0。 IOUT2模擬電流輸出端 2。IOUT1+IOUT2=常數(shù)。 Rfb反饋電阻引出端。DAC0832 內(nèi)部已經(jīng)有反饋電阻,所以,RFB 端可以直接接 到外部運算放大器的輸出端。相當(dāng)于將反饋電阻接在運算放大器的輸

48、入端和輸出端之間。 VREF參考電壓輸入端??山与妷悍秶鸀?0V。外部標(biāo)準(zhǔn)電壓通過 VREF 與 T 型 電阻網(wǎng)絡(luò)相連。 VCC芯片供電電壓端。范圍為+5V+15V,最佳工作狀態(tài)是+15V。 AGND模擬地,即模擬電路接地端。 DGND數(shù)字地,即數(shù)字電路接地端。 15 2.3.2.4. DAC0832 的工作方式 DAC0832 進(jìn)行 D/A 轉(zhuǎn)換,可以采用兩種方法對數(shù)據(jù)進(jìn)行鎖存8 1、第一種方法是使輸入寄存器工作在鎖存狀態(tài),而 DAC 寄存器工作在直通狀態(tài)。具 體地說,就是使和都為低電平,DAC 寄存器的鎖存選通端得不到有效電平而直通;此外, 使輸入寄存器的控制信號 ILE 處于高電平、處于

49、低電平,這樣,當(dāng)端來一個負(fù)脈沖時,就 可以完成 1 次轉(zhuǎn)換。 2、第二種方法是使輸入寄存器工作在直通狀態(tài),而 DAC 寄存器工作在鎖存狀態(tài)。就 是使和為低電平,ILE 為高電平,這樣,輸入寄存器的鎖存選通信號處于無效狀態(tài)而直通; 當(dāng)和端輸入 1 個負(fù)脈沖時,使得 DAC 寄存器工作在鎖存狀態(tài),提供鎖存數(shù)據(jù)進(jìn)行轉(zhuǎn)換。 根據(jù)上述對 DAC0832 的輸入寄存器和 DAC 寄存器不同的控制方法,DAC0832 有如 下 3 種工作方式: 單緩沖方式。單緩沖方式是控制輸入寄存器和 DAC 寄存器同時接收資料,或者只 用輸入寄存器而把 DAC 寄存器接成直通方式。此方式適用只有一路模擬量輸出或幾路模 擬

50、量異步輸出的情形。 雙緩沖方式。雙緩沖方式是先使輸入寄存器接收資料,再控制輸入寄存器的輸出資 料到 DAC 寄存器,即分兩次鎖存輸入資料。此方式適用于多個 D/A 轉(zhuǎn)換同步輸出的情節(jié)。 直通方式。直通方式是資料不經(jīng)兩級鎖存器鎖存,即 CS*,XFER*,WR1*,WR2* 均接地,ILE 接高電平。此方式適用于連續(xù)反饋控制線路和不帶微機(jī)的控制系統(tǒng),不過在 使用時,必須通過另加 I/O 接口與 CPU 連接,以匹配 CPU 與 D/A 轉(zhuǎn)換。 根據(jù)上述資料,單片機(jī)與 DAC0832 的相連電路如圖 2.7 所示,其中 P3 口與 DAC0832 的數(shù)據(jù)輸入端口 D0D7 相連,DAC0832 的

51、 VCC,ILE 接電源,Vref 接參考電壓, XFER,AGND,DGND,WR1,WR2,CS 全部接地,芯片工作在直通方式下。 16 圖 2-7 AT89S51 與 DAC0832 連接電路 2.3.3. 電流轉(zhuǎn)換模塊 DAC0832 輸出的是電流信號,我們需要的輸出是電壓信號,經(jīng)第一級運放后可以輸出- 5-0V 的信號,加入第二級運放后可以輸出-5V-5V。第一級和第二級輸出的電壓公示如下: Vout1=-Vref*(D/256),Vout2=10*(Vcc/10+Vout1/5)。 圖 2-8 電流轉(zhuǎn)換模塊原理圖 運放在本設(shè)計中的作用:LM324 接 DAC0832 的 Iout1

52、 和 Iout2,將得到的電流量轉(zhuǎn)化 為電壓量,第一級運放可以輸出-5V-0V 的電壓,第二級運放可以輸出-5V-+5V 的電壓。 17 LM324 系列器件帶有真差動輸入的四運算放大器。與單電源應(yīng)用場合的標(biāo)準(zhǔn)運算放大 器相比,它們有一些顯著優(yōu)點。該四放大器可以工作在低到 3.0 伏或者高到 32 伏的電源下, 靜態(tài)電流為 MC1741 的靜態(tài)電流的五分之一。共模輸入范圍包括負(fù)電源,因而消除了在許 多應(yīng)用場合中采用外部偏置元件的必要性。每一組運算放大器可用圖 1 所示的符號來表示, 它有 5 個引出腳,其中“+”、 “-”為兩個信號輸入端, “V+”、 “V-”為正、負(fù)電源端, “Vo”為輸出

53、 端。兩個信號輸入端中,Vi-(-)為反相輸入端,表示運放輸出端 Vo 的信號與該輸入端的 位相反;Vi+(+)為同相輸入端,表示運放輸出端 Vo 的信號與該輸入端的相位相同。 LM324 的引腳排列見圖 2.9。 圖 2-9 LM324 引腳圖 圖 2-10 LM324 內(nèi)部電路圖 LM324 系列由四個獨立的,高增益,內(nèi)部頻率補(bǔ)償運算放大器,其中專為從單電源供 18 電的電壓范圍經(jīng)營。從分裂電源的操作也有可能和低電源電流消耗是獨立的電源電壓的幅 度。應(yīng)用領(lǐng)域包括傳感器放大器,直流增益模塊和所有傳統(tǒng)的運算放大器現(xiàn)在可以更容易 地 在單電源系統(tǒng)中實現(xiàn)的電路。例如,可直接操作的 LM324 系列

54、,這是用來在數(shù)字系統(tǒng) 中,輕松地將提供所需的接口電路,而無需額外的15V 電源標(biāo)準(zhǔn)的 5V 電源電壓。 2.3.4. 電壓調(diào)節(jié)模塊 通過一個 BARONS3296 電位器控制 DAC0832 的參考電壓來改變輸出電壓,可以做到 電壓的連續(xù)調(diào)節(jié),連續(xù)的調(diào)節(jié)避免了軟件控制電壓的繁復(fù),使電壓的調(diào)節(jié)變的容易和精確。 圖 2-11 電壓調(diào)節(jié)模塊 19 2.3.5. 波形轉(zhuǎn)換和頻率調(diào)節(jié)模塊 圖 2-12 波形轉(zhuǎn)換和頻率調(diào)節(jié)模塊 通過控制 ABCD 四個按鍵控制波形的改變,通過鍵盤輸入數(shù)字控制頻率改變。其中 A 表示切換到方波,B 表示切換到鋸齒波,C 表示切換到三角波,D 表示切換到正弦波。其 端口與單片

55、機(jī)的 P0 口相連。 2.3.6. 頻率顯示模塊 本次設(shè)計中需要一個可以顯示當(dāng)前輸出信號的頻率的器件,數(shù)碼管簡單易用,是良好 的選擇,但數(shù)碼管也有許多不同的類型。數(shù)碼管按段數(shù)分為七段數(shù)碼管和八段數(shù)碼管,八 段數(shù)碼管比七段數(shù)碼管多一個發(fā)光二極管單元(多一個小數(shù)點顯示) ;按能顯示多少個“8” 可分為 1 位、2 位、4 位等等數(shù)碼管。 圖 2-13 共陰極七段數(shù)碼管 20 圖 2-14 共陽極七段數(shù)碼 按發(fā)光二極管單元連接方式分為共陽極數(shù)碼管和共陰極數(shù)碼管9,如圖 2-13、圖 2-14 所示。共陽數(shù)碼管是指將所有發(fā)光二極管的陽極接到一起形成公共陽極(COM)的數(shù)碼管。 共陽數(shù)碼管在應(yīng)用時應(yīng)將公

56、共極 COM 接到+5V,當(dāng)某一字段發(fā)光二極管的陰極為低電平 時,相應(yīng)字段就點亮。當(dāng)某一字段的陰極為高電平時,相應(yīng)字段就不亮。 。共陰數(shù)碼管是指 將所有發(fā)光二極管的陰極接到一起形成公共陰極(COM)的數(shù)碼管。共陰數(shù)碼管在應(yīng)用時應(yīng) 將公共極 COM 接到地線 GND 上,當(dāng)某一字段發(fā)光二極管的陽極為高電平時,相應(yīng)字段就 點亮。當(dāng)某一字段的陽極為低電平時,相應(yīng)字段就不亮。 本設(shè)計采用共陽極七段數(shù)碼管,由于單片機(jī)驅(qū)動能力有限,故引入了 s9012pnp 三極管, 射極接電源,集電極接七段數(shù)碼管的位選端,基極接單片機(jī)的 p2 口,單片機(jī)輸出低電平時 三極管工作在放大區(qū)驅(qū)動七段數(shù)碼管。 頻率顯示模塊除了

57、有四位七段數(shù)碼管外,還有 4 個 2N3906pnp 三極管,4 個 10k 電阻 和一個排阻組成。由于單片機(jī)的驅(qū)動能力有限,故需要添加三極管另作驅(qū)動。七段數(shù)碼管 的 ABCD 為位選信號,連接單片機(jī)的 P2.0P2.3 口;adp 為七段數(shù)碼管的數(shù)據(jù)輸入端,連 接單片機(jī)的 P0 口。 21 圖 2-15 頻率顯示模塊 2.3.7. 電路原理圖 圖 2-16 硬件原理圖 圖中左上角為波形轉(zhuǎn)換模塊,與 P1 口相連。 22 上方為電壓調(diào)節(jié)模塊,與 DAC0832 芯片的 Vref 相連。 左下方為電流轉(zhuǎn)換模塊,與 DAC0832 的 Iout1 和 Rfb 等相連。 中間偏左為 DA 轉(zhuǎn)換模塊,

58、與單片機(jī)的 P3 口相連。 右半部分為頻率顯示模塊,與單片機(jī)的 P2,P0 口相連。 中間為主控模塊,即單片機(jī)。 23 3. 系統(tǒng)的軟件設(shè)計系統(tǒng)的軟件設(shè)計 3.1.主流程圖 系統(tǒng)接通電源后上電自動復(fù)位,然后需要完成初始化工作,主要完成電路剛上電的時 候電路工作狀態(tài)設(shè)置及一些準(zhǔn)備工作,然后單片機(jī)會不斷掃描鍵盤是否按下,顯示程序會 先顯示一個已經(jīng)賦值好的數(shù)字默認(rèn)為 1234,如果掃描到有鍵盤值 ABCD 按下就會開始輸出 相應(yīng)的波形,如果掃描到有數(shù)字鍵按下則會改變七段數(shù)碼管的顯示數(shù)字,并且在輸入四個 數(shù)字后計算計數(shù)器的初值 TH0,TLO 并重新賦值。這期間定時器中斷服務(wù)程序持續(xù)工作, 為系統(tǒng)定時

59、輸出相應(yīng)的數(shù)字量10。 圖 3-1 系統(tǒng)主流程圖 鍵盤掃描子程序 開始 初始化 計數(shù)時間到輸出規(guī)定值 否 改變計數(shù)初值或者波形 是否有鍵改變? 是 顯示子程序 24 N N N Y N N N N N N Y 3.2.各功能模塊子程序 低頻信號源信號的產(chǎn)生程序主要分以下幾個模塊: 1. 初始化程序:主要完成電路剛上電的時候電路工作狀態(tài)設(shè)置及一些準(zhǔn)備工作; 2. 顯示子程序: 圖 3-2 顯示子程序流程圖 3. 鍵盤掃描子程序: 鍵掃描子程序 第一列有鍵按下? 第二列有鍵按下? 第三列有鍵按下? 第四列有鍵按下? 第一行按下? 第二行按下? 第三行按下? 第四行按下? 賦值 主程序 第四列四行按

60、下? 圖 3-3 鍵盤掃描程序 25 4. 波形產(chǎn)生子程序:波形產(chǎn)生程序分三個波形,即方波,三角波,正弦波11。 正弦波波形產(chǎn)生的過程:不斷讀取 Tab 表里面已經(jīng)計算好的內(nèi)容,輸出到 P3 口, DAC0832 做轉(zhuǎn)換。每次頻率改變都送到中斷處理中重新給 TH0 和 TL0 賦值,下同。 三角波產(chǎn)生過程:每次輸出的二進(jìn)制碼加一,提高到最高的 255 時或降低到最低的一 時反響增加。 方波產(chǎn)生過程:固定每個周期講信號翻轉(zhuǎn)一次,就可以輸出固定頻率的方波了 以方波為例,流程圖如下: 圖 3-4 正弦波產(chǎn)生程序 開始 定時時間到,輸出到 P3 將 A 賦值為 FFH 定時時間到,輸出到 P3 將 A

61、 賦值為 00H 26 5. 頻率更改子程序: 是 圖 3-5 頻率更改子程序 開始 周期大于 065.5ms? 數(shù)據(jù)除以 10,R7 賦值 10 是否已輸入四個數(shù)字? 返回主程序,等待定時中斷,結(jié)束 載入已存周期 通過計算子程序給 Th0,Tl0 賦初值。 是 否 否 27 4. 系統(tǒng)調(diào)試系統(tǒng)調(diào)試 4.1.仿真工具 偉福 V5/L 仿真器是一款利用其 IDE 集成開發(fā)環(huán)境作為仿真環(huán)境的廉價仿真器,是利 用 SST 公司具有 IAP 功能的單片機(jī) SST89C51 制作而成,主要是利用了 SST89C51 的 IAP 功能,所謂 IAP 功能是 In application program 的

62、英文縮寫,是在應(yīng)用編程的意思,就是它 可以通過串口將用戶的程序下載到單片機(jī)中,可以通過串口對單片機(jī)進(jìn)行編程。它之所以 具有這種功能,實際上它有兩塊程序 flash 區(qū),其中一塊 flash 中運行的程序可以更改另外 的一塊程序 flash 區(qū)中的程序,正是利用這一特性才用它作成了仿真器,我們把仿真器的監(jiān) 控程序事先燒入 SST89C51,監(jiān)控程序通過 SST89C51 的串口和 PC 通訊,當(dāng)使用 KEIL UVISION3 的 IDE 環(huán)境仿真時,用戶的程序通過串口被監(jiān)控程序?qū)懭?flash 程序區(qū)中,當(dāng)用 戶設(shè)置斷點等操作仿真程序時,flash 程序中的用戶程序也在相應(yīng)的更改,從而實現(xiàn)了仿

63、真 功能。 4.2. 調(diào)試流程調(diào)試流程 1、點擊啟動 V 系列仿真器集成調(diào)試12。 2、新建一個文件,將寫好的代碼復(fù)制進(jìn)去,保存為*.asm 格式。 3、新建一個工程。文件 菜單新建項目,加入要加入的模塊文件保存。 28 圖 4-1 新建工程添加文件 4、保存之后,點擊編譯按鈕進(jìn)行程序調(diào)試、查錯,在程序下面的提示框 Output window 中點擊出錯的提示,進(jìn)行程序改錯 圖 4-2 錯誤提示信息 這是一個符號未定義的錯誤,將 FF 前面加 0 后解決。編譯正確后出現(xiàn)。 圖 4-3 編譯正確的信息 5、進(jìn)行仿真器設(shè)置,將使用偉福軟件模擬器前面的勾點掉,即使用硬件仿真。 29 圖 4-4 仿真

64、器設(shè)置 6、點擊全速執(zhí)行,觀察寄存器窗口,發(fā)現(xiàn)調(diào)試過程中的錯誤 圖 4-5 寄存器窗口 7、執(zhí)行過程開始,會顯示初始頻率值 1234,如圖所示 30 圖 4-6 顯示初始頻率 8、按鍵盤上的數(shù)字改變當(dāng)前頻率值。 圖 4-7 按鍵后的頻率顯示 31 9、點擊鍵盤上的字母 A,輸出方波波形,如圖 4.8 為在示波器上的觀察結(jié)果: 圖 4-8 方波波形在示波器的顯示 按字母 B,輸出三角波波形,在示波器上的顯示如圖 4-9 所示 圖 4-9 三角波在示波器上的顯示 32 按字母 C,顯示正弦波的波形,如圖所示 圖 4-10 正弦波波形在示波器的顯示 按字母 D,顯示三角波波形,由于仿真器的 P3.7

65、 接觸不良所以顯示的波形不時完全正確: 圖 4-11 三角波波形在示波器的顯示 8、點擊復(fù)位按鈕,結(jié)束調(diào)試。 33 5. 總結(jié)總結(jié) 本文主要研究了以 AT89S51 單片機(jī)為主要控制器件的低頻信號源的設(shè)計,包括 LED 顯 示,DA 轉(zhuǎn)換,輸出電流轉(zhuǎn)電壓,4*4 鍵盤調(diào)節(jié)。主要內(nèi)容如下: 1、論文首先介紹了低頻信號源的發(fā)展?fàn)顩r,突出了運用單片機(jī)做控制器的低頻信號源 的優(yōu)點,研究了幾種主流設(shè)計思路并最終選定了軟硬件結(jié)合的方法。 2、其次研究了電路整體設(shè)計和各個模塊的設(shè)計并對用到的器件做了描述,數(shù)模轉(zhuǎn)換選 定了 DAC0832 芯片,電流電壓轉(zhuǎn)換模塊選定了 LM324 集成四運放,顯示模塊選定了四位 七段數(shù)碼管,波形轉(zhuǎn)換和頻率調(diào)節(jié)模塊選定了 4*4 鍵盤。單片機(jī)產(chǎn)生需要輸出波形的數(shù)據(jù) 輸出到 DAC0832,DAC832 將數(shù)字量轉(zhuǎn)化為電流信號,再由 LM324 轉(zhuǎn)化為電壓信號,數(shù) 碼管用來顯示當(dāng)前頻率,鍵盤用來更改波形和頻率。 3、論文最后對設(shè)計的軟件部分和調(diào)試過程進(jìn)行了研究,描述了整個程序的框架,繪制 了流程圖,然后依次完成了初始化程序和其他各項子程序,其中在鍵盤掃描子程序、顯示 子程序、頻率更改子程序上做了比較細(xì)致的描述。調(diào)試過程將主要的實驗現(xiàn)象用照片的形 式描述出來,比較直觀和形象。 在這個系統(tǒng)的頻率調(diào)節(jié)上,這個系統(tǒng)

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!