九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

電子技術(shù)綜合設(shè)計課程設(shè)計-多功能數(shù)字鐘.doc

上傳人:good****022 文檔編號:116535844 上傳時間:2022-07-05 格式:DOC 頁數(shù):24 大?。?24KB
收藏 版權(quán)申訴 舉報 下載
電子技術(shù)綜合設(shè)計課程設(shè)計-多功能數(shù)字鐘.doc_第1頁
第1頁 / 共24頁
電子技術(shù)綜合設(shè)計課程設(shè)計-多功能數(shù)字鐘.doc_第2頁
第2頁 / 共24頁
電子技術(shù)綜合設(shè)計課程設(shè)計-多功能數(shù)字鐘.doc_第3頁
第3頁 / 共24頁

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《電子技術(shù)綜合設(shè)計課程設(shè)計-多功能數(shù)字鐘.doc》由會員分享,可在線閱讀,更多相關(guān)《電子技術(shù)綜合設(shè)計課程設(shè)計-多功能數(shù)字鐘.doc(24頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、 第 24頁電子技術(shù)綜合設(shè)計姓 名: 學(xué) 號: 專 業(yè): 題 目: 多功能 數(shù)字鐘 專 題: 電子技術(shù)綜合設(shè)計 設(shè)計地點: 電工電子實驗室 設(shè)計日期:2011年 月 日至2011年 月 日 成 績: 指導(dǎo)教師: 年 月 電子技術(shù)綜合設(shè)計任務(wù)書學(xué)生姓名 專業(yè)年級 學(xué)號 設(shè)計日期:2011 年 月 日 至 2011 年 月 日設(shè)計專題: 電子技術(shù)綜合設(shè)計設(shè)計題目:多功能數(shù)字鐘設(shè)計內(nèi)容和要求:1. 主要內(nèi)容: 用 CC4518雙四位BCD同步加計數(shù)器設(shè)計60秒、60分、24小時歸0的計數(shù)電路 用CC4511 七段譯碼驅(qū)動/鎖存器及LG5011AH共陰數(shù)碼管設(shè)計譯碼及顯示電路(數(shù)碼管需加限流電阻) 用

2、555設(shè)計CP脈沖源 (f=1KH) 具有系統(tǒng)校準(zhǔn)功能2. 整體電路原理圖60秒、60分、24小時- 計數(shù)、譯碼、顯示電路3. EWB仿真圖60秒、60分、24小時- 計數(shù)、譯碼、顯示電路4. 設(shè)計原理圖用PROTEL99設(shè)計原理圖5. 設(shè)計PCB版圖用PROTEL99設(shè)計PCB板圖6. 功能擴(kuò)展要求設(shè)計:定點報時功能 12小時歸1計數(shù)電路指導(dǎo)教師簽字: 年 月 日摘 要數(shù)字鐘是采用數(shù)字電路實現(xiàn)對“時”、“分”、“秒”數(shù)字顯示的計時裝置。數(shù)字鐘的精度、穩(wěn)定度遠(yuǎn)遠(yuǎn)超過老式機(jī)械鐘。在這次設(shè)計中,我們采用LED數(shù)碼管顯示時、分、秒,以24小時計時方式。我們先從理論設(shè)計論證開始,先用EWB進(jìn)行數(shù)字鐘的

3、仿真設(shè)計,實現(xiàn)了用NE555定時器組成的無穩(wěn)態(tài)電路產(chǎn)生頻率為1Hz的脈沖,然后再用CC4518實現(xiàn)了24、60進(jìn)制的計數(shù),用 CC4511與數(shù)碼管實現(xiàn)了對計數(shù)輸出進(jìn)行譯碼與顯示,最后結(jié)合門電路完成了主板的計數(shù)與校準(zhǔn)功能。之后我們根據(jù)EWB中已經(jīng)畫好的仿真圖用PROTEL99軟件進(jìn)行相應(yīng)的原理圖繪制,在做完封裝及檢查無誤后生成PCB板,即完成了整個電路軟件方面的設(shè)計工作。在軟件設(shè)計工作結(jié)束后,我們即開始硬件的焊接工作。先焊接自帶秒脈沖信號發(fā)生器以及能實現(xiàn)60秒、60分、24時的計數(shù)、譯碼、顯示功能的主板電路,然后再焊接具有定點報時功能的擴(kuò)展板電路。通過以上過程,即可完成整個多功能數(shù)字鐘的軟件及硬

4、件的設(shè)計工作。關(guān)鍵詞:數(shù)字鐘 進(jìn)制 CC4518計數(shù)器 CC4511譯碼顯示目 錄第一章 緒論1.1數(shù)字電子鐘的背景61.2數(shù)字電子鐘的意義61.3數(shù)字電子鐘的應(yīng)用6第二章 數(shù)字鐘的基本原理2.1器件要求72.2系統(tǒng)工作原理72.3實現(xiàn)功能8第三章 數(shù)字鐘的設(shè)計 3.1方案確定9 3.2秒脈沖發(fā)生器 3.2.1 555構(gòu)成的多諧震蕩器93.2.2 555構(gòu)成的多諧震蕩器電路圖103.3秒、分、小時計數(shù)電路3.3.1 cc4518功能介紹 10 3.3.2 cc4518構(gòu)成60、24進(jìn)制計數(shù)單元電路原理11 3.4校時電路12 3.5擴(kuò)展功能3.5.1鬧時功能133.5.2定時報時電路13 3.

5、6系統(tǒng)整體仿真圖 3.6.1元件清單(見附1)17 3.6.2系統(tǒng)整體仿真圖(見附2)18 3.6.3設(shè)計原理圖(見附3)19 3.6.4設(shè)計PCB版圖(見附4、5) 20 3.6.5 實際焊接的印刷電路板元件分布圖(見附6)22第四章 性能調(diào)試 4.1系統(tǒng)性能測試與功能說明15 4.2軟件調(diào)試問題及解決15總結(jié)16第一章 緒論1.1數(shù)字電子鐘的背景 20世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展,在其推動下,現(xiàn)代電子產(chǎn)品幾乎滲透了社會的各個領(lǐng)域,有力地推動了社會生產(chǎn)力的發(fā)展和社會信息化程度的提高,同時也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來越快。 時間對人們來說總是那么寶貴,工作的忙碌

6、性和繁雜性容易使人忘記當(dāng)前的時間。忘記了要做的事情,當(dāng)事情不是很重要的時候,這種遺忘無傷大雅。但是,一旦重要事情,一時的耽誤可能釀成大禍。目前,單片機(jī)正朝著高性能和多品種方向發(fā)展趨勢將是進(jìn)一步向著CMOS化、低功耗、小體積、大容量、高性能、低價格和外圍電路內(nèi)裝化等幾個方面發(fā)展。下面是單片機(jī)的主要發(fā)展趨勢。單片機(jī)應(yīng)用的重要意義還在于,它從根本上改變了傳統(tǒng)的控制系統(tǒng)設(shè)計思想和設(shè)計方法。從前必須由模擬電路或數(shù)字電路實現(xiàn)的大部分功能,現(xiàn)在已能用單片機(jī)通過軟件方法來實現(xiàn)了。這種軟件代替硬件的控制技術(shù)也稱為微控制技術(shù),是傳統(tǒng)控制技術(shù)的一次革命。單片機(jī)模塊中最常見的是數(shù)字鐘,數(shù)字鐘是一種用數(shù)字電路技術(shù)實現(xiàn)時

7、、分、秒計時的裝置,與機(jī)械式時鐘相比具有更高的準(zhǔn)確性和直觀性,且無機(jī)械裝置,具有更更長的使用壽命,因此得到了廣泛的使用。1.2數(shù)字電子鐘的意義數(shù)字鐘是采用數(shù)字電路實現(xiàn)對.時,分,秒.數(shù)字顯示的計時裝置,廣泛用于個人家庭,車站, 碼頭辦公室等公共場所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵?由于數(shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度,遠(yuǎn)遠(yuǎn)超過老式鐘表, 鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地擴(kuò)展了鐘表原先的報時功能。諸如定時自動報警、按時自動打鈴、時間程序自動控制、定時廣播、自動起閉路燈、定時開關(guān)烘箱、通斷動力設(shè)備、甚至各種定時電氣的自動啟用等,所有這些,都是以

8、鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非常現(xiàn)實的意義。1.3數(shù)字電子鐘的應(yīng)用 數(shù)字鐘已成為人們?nèi)粘I钪校罕夭豢缮俚谋匦杵罚瑥V泛用于個人家庭以及車站、碼頭、劇場、辦公室等公共場所,給人們的生活、學(xué)習(xí)、工作、娛樂帶來極大的方便。由于數(shù)字集成電路技術(shù)的發(fā)展和采用了先進(jìn)的石英技術(shù),使數(shù)字鐘具有走時準(zhǔn)確、性能穩(wěn)定、攜帶方便等優(yōu)點,它還用于計時、自動報時及自動控制等各個領(lǐng)域。 第二章 數(shù)字鐘的基本原理2.1器件要求 計數(shù)電路:用CC4518計數(shù)器譯碼電路:用CC4511譯碼顯示電路:用LG5011AH共陰數(shù)碼管秒脈沖信號發(fā)生器:用555多諧振蕩器(用發(fā)光二極管作輸出顯示)2.2 系統(tǒng)工作

9、原理工作原理 : 數(shù)字鐘電路主要由譯碼顯示器、校準(zhǔn)電路、報時電路、時計數(shù)、分計數(shù)、秒計數(shù)器,振蕩電路和單次脈沖產(chǎn)生電路組成。其中電路系統(tǒng)由秒脈沖信號發(fā)生器、“時”、“分”、“秒”計數(shù)器、譯碼器及顯示器、校準(zhǔn)電路、整點報時電路組成。秒脈沖信號產(chǎn)生器是整個系統(tǒng)的時基信號,它直接決定計時系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來實現(xiàn),將標(biāo)準(zhǔn)秒信號送入“秒計數(shù)器”,“秒計數(shù)器”采用60進(jìn)制計數(shù)器,每累計60秒發(fā)出一個“分脈沖”信號,該信號將作為“分計數(shù)器”的時鐘脈沖?!胺钟嫈?shù)器”也采用60進(jìn)制計數(shù)器,每累計60分鐘,發(fā)出一個時脈沖信號,該信號將被送到時計數(shù)器。時計數(shù)器采用24進(jìn)制計時器,可實現(xiàn)對一天2

10、4小時的計時。譯碼顯示電路將“時”、“分”、“秒”計數(shù)器的輸出狀態(tài)通過顯示驅(qū)動電路,七段顯示譯碼器譯碼,在經(jīng)過六位LED七段顯示器顯示出來。整點報時電路時根據(jù)計時系統(tǒng)的輸出狀態(tài)產(chǎn)生一個脈沖信號,然后去觸發(fā)一音頻發(fā)生器實現(xiàn)低、高音報時。校準(zhǔn)電路時用來對“時”、“分”、“秒”顯示數(shù)字進(jìn)行校對調(diào)整的。如圖1所示多功能數(shù)字鐘的組成框圖。 圖2-2 多功能數(shù)字鐘的組成框圖2.3實現(xiàn)功能采用51單片機(jī)系列AT89S51.對時鐘進(jìn)行控制單片機(jī)由單塊集成電路芯片構(gòu)成,內(nèi)部包含有計算機(jī)的基本功能部件:中央處理器、存儲器和I/O接口電路等。因此,單片機(jī)只需要和適當(dāng)?shù)能浖巴獠吭O(shè)備相結(jié)合,便可成為一個單片機(jī)控制系統(tǒng)

11、。(1)開機(jī)時,顯示12:00:00的時間開始計時;(2)P1.0/AD0控制“秒”的調(diào)整,每按一次加1秒; (3)P1.1/AD1控制“分”的調(diào)整,每按一次加1分; (4)P1.2/AD2控制“時”的調(diào)整,每按一次加1個小時;第三節(jié) 數(shù)字鐘的設(shè)計3.1方案確定圖3-1方案確定思想構(gòu)架3.2秒脈沖發(fā)生器3.2.1 555構(gòu)成的多諧震蕩器 555芯片介紹1 GND 2觸發(fā) 3輸出 4復(fù)位 5控制電壓 6門限(閾值) 7放電 8 Vcc3.2.2 555構(gòu)成多諧震蕩器電路圖圖2 555構(gòu)成多諧震蕩器電路圖 參數(shù)計算: f=1HZ R1=428.5K R2=500K C1=0.01F C2=1F T

12、=1s 3.3秒、分、小時計數(shù)電路3.3.1 CC4518功能介紹用CC4518構(gòu)成60、24進(jìn)制計數(shù)電路,然后級聯(lián)組成時、分、秒整體計數(shù)電路圖3.3.1 CC4518管腳圖 CLENR功能10加計數(shù)00加計數(shù)0不變0不變00不變10不變1Q3Q0=04518真值表3.3. 2 CC4518構(gòu)成60、24進(jìn)制計數(shù)單元電路原理圖3.3.2 60進(jìn)制計數(shù)單元電路圖工作原理根據(jù)4518的功能表,當(dāng)CP0處于上升沿、CP1A處于0時和清零端MRA處于0時,4518能正常從零開始計數(shù)。當(dāng)?shù)臀幌蚋呶贿M(jìn)位時,低位向高位的進(jìn)位脈沖,用03A的下降沿接CP1B端,同時用有2個管腳與門連接00A、03A,輸出端接

13、CP0B端,這樣當(dāng)?shù)臀坏?時,能自動向高位進(jìn)1。當(dāng)計數(shù)器到59時,用有2個管腳的與門連接高位的01B和02B為下一個4518提供脈沖,同時把輸出端接清零端MRA,使得4518計數(shù)到59自動清零,從而使下一個輸出為00。 圖3.3.1 24進(jìn)制計數(shù)單元電路圖工作原理根據(jù)4518的功能表,當(dāng)CP0處于上升沿、CP1A處于0時和清零端MRA處于0時,4518能正常從零開始計數(shù)。當(dāng)?shù)臀幌蚋呶贿M(jìn)位時,低位向高位的進(jìn)位脈沖,用03A的下降沿接CP1B端,同時用有2個管腳與門連接00A、03A,輸出端接CP0B端,這樣當(dāng)?shù)臀坏?時,能自動向高位進(jìn)1。當(dāng)計數(shù)器到24時,用有3個管腳的與門連接低位的00A、02

14、A和高位的01B,輸出端接清零端MRA,使得4518計數(shù)到24自動清零,從而使下一個輸出為00.3.4 校時電路S1S2功能11計數(shù)10校分01校時校時脈沖功能1HZ快校時單次脈沖慢校時 3.5擴(kuò)展功能3.5.1鬧時功能圖2.6.1鬧時功能電路工作原理:例如:上午7點59分發(fā)出鬧時信號,持續(xù)1分鐘。7點59分的對應(yīng)的數(shù)字鐘的狀態(tài)分別為0111 十個位/0101 分十位/1001 分個位 鬧時控制信號K=(Q2Q1Q0)(Q2Q0)(Q3Q0)=13.5.2定時報時電路圖2.6.2定時報時原理圖工作原理:74LS273是一種帶清除功能的D觸發(fā)器, 1D8D為數(shù)據(jù)輸入端,1Q8Q為數(shù)據(jù)輸出端,正脈

15、沖觸發(fā),低電平清除,而74LS266里面是四個異或非門,266的一端連著CC4518的輸出管腳,由圖2.6.2可知鬧鐘定時可以精確到秒的十位,其工作原理為當(dāng)先將時鐘調(diào)制到用戶想要的點數(shù),然后按下開關(guān),74LS373會自動鎖存住這一時間,而當(dāng)時鐘下一次運(yùn)行至這一時間時,三個CC4518會發(fā)出高電平信號,連同的74LS373也會發(fā)出高電平信號,通過266的與或非門后到達(dá)74LS21,而74LS21中全是與門,則最終輸出高電平,經(jīng)過三極管進(jìn)行數(shù)模信號轉(zhuǎn)換后到達(dá)揚(yáng)聲器發(fā)出聲音。3.6系統(tǒng)整體仿真圖 3.6.1元件清單(附錄1) 3.6.2系統(tǒng)整體仿真圖(附錄2) 3.6.3設(shè)計原理圖(附錄3) 3.6

16、.4設(shè)計pcb版圖(附錄4、附錄5) 3.6.5實際焊接的印刷電路板元件分布圖(附錄6)第四章 性能測試4.1 系統(tǒng)性能測試與功能說明走時:默認(rèn)為走時狀態(tài),按24小時制分別顯示“時時-分分-秒秒”,有2個“-”動態(tài)顯示,時間會按實際時間以秒為最少單位變化。走時調(diào)整:按ksec對秒進(jìn)行調(diào)整,按一下加一秒;按kmin對分進(jìn)行調(diào)整,按一下加一分;按khour對時進(jìn)行調(diào)整,按一下加一小時,從而達(dá)到快速設(shè)定時間的目的。4.2軟件調(diào)試問題及解決 4.2.1系統(tǒng)軟件仿真、硬件安裝、調(diào)試遇到的問題1、原來我是和同學(xué)用的同一種方法,所以在EWB模擬仿真中,出現(xiàn)數(shù)碼管初始不顯示0狀態(tài),而是從1開始2、 在port

17、al中進(jìn)行電氣檢查的時候,發(fā)現(xiàn)自己線路中又有許多斷點3、在生成PCB板的時候會產(chǎn)生封裝錯誤4、由于副板要焊接的元件太多,所以線路很密,會有很多線把焊接孔堵住,而且完成主板和副版的焊接后,用排線將其連接后主板數(shù)碼管的分十位不顯示進(jìn)位。 4.2.2記錄問題現(xiàn)象、分析存在的原因1、在EWB中的數(shù)碼管顯示問題是由于脈沖源會提前一個周期到達(dá)4518是軟件自身的問題缺陷。可以用晶閘管和D觸發(fā)器的延遲作用進(jìn)行校正,但我是并不是用這兩種方法,而是從芯片本身的連接上上來解決這個問題。2、 有些是元件不用的管腳應(yīng)該要標(biāo)記,以便讓軟件知道,還有一些是因為自己連線的時候沒有連好。3、生成PCB板時產(chǎn)生的封裝錯誤一、自

18、己填寫封裝時填的就是錯誤的。二、可能是自己做的封裝沒有導(dǎo)入library庫里。三、library庫里面的封裝沒有導(dǎo)出應(yīng)用。四、也可能是軟件自身問題DIP-X中間的連字符的取舍問題。4、在焊接前沒能布置好線的排列,導(dǎo)致一些線穿過焊接孔導(dǎo)致堵塞;數(shù)碼管不進(jìn)位可能是焊接時不小心造成短路也可能是芯片問題??偨Y(jié)我在這一次數(shù)字電子鐘的設(shè)計過程中,很是受益匪淺。通過對自己在大學(xué)四年多的時間里所學(xué)的知識的回顧,并充分發(fā)揮對所學(xué)知識的理解和對畢業(yè)設(shè)計的思考及書面表達(dá)能力,最終完成了。這為我們今后進(jìn)一步深化學(xué)習(xí),積累了一定寶貴的經(jīng)驗。撰寫論文的過程也是專業(yè)知識的學(xué)習(xí)過程,它使我們運(yùn)用已有的專業(yè)基礎(chǔ)知識,對其進(jìn)行設(shè)

19、計,分析和解決一個理論問題或?qū)嶋H問題,把知識轉(zhuǎn)化為能力的實際訓(xùn)練。培養(yǎng)了我們運(yùn)用所學(xué)知識解決實際問題的能力。通過這次設(shè)計我們發(fā)現(xiàn),只有理論水平提高了;才能夠?qū)⒄n本知識與實踐相整合,理論知識服務(wù)于教學(xué)實踐,以增強(qiáng)自己的動手能力。這個實驗十分有意義 我獲得很深刻的經(jīng)驗。通過這次課程設(shè)計,我們知道了理論和實際的距離,也知道了理論和實際想結(jié)合的重要性,也從中得知了很多書本上無法得知的知識。我們的學(xué)習(xí)不但要立足于書本,以解決理論和實際教學(xué)中的實際問題為目的,還要以實踐相結(jié)合,理論問題即實踐課題,解決問題即課程研究,學(xué)生自己就是一個專家,通過自己的手來解決問題比用腦子解決問題更加深刻。學(xué)習(xí)就應(yīng)該采取理論與

20、實踐結(jié)合的方式,理論的問題,也就是實踐性的課題。這種做法既有助于完成理論知識的鞏固,又有助于帶動實踐,解決實際問題,加強(qiáng)我們的動手能力和解決問題的能力。附錄附錄1: 主 板序號型號參數(shù)數(shù)量(個)序號型號參數(shù)數(shù)量(個)1集成芯片CC4518311414862CC4511612電解電容100uF16V53CC4060113電容獨石104124CC4040114電阻1/4W1M45芯片座16P1115220K56數(shù)碼管LG5011AH616470427晶振3276811722028三極管PNP(1015)118微動開關(guān)6*6*649發(fā)光二極管5419穩(wěn)壓電源座3.5空心座110二極管IN400742

21、0電路板擴(kuò) 展 板18D鎖存器74LS27337復(fù)位開關(guān)12同或門74LS26658自鎖開關(guān)134輸入2與門74 LS2149喇叭14芯片座20P310音樂片1514P911灰排線20P6三極管9013212電路板1附錄2:附錄3:數(shù)字鐘電路設(shè)計圖附錄4 :PCB板反面圖附錄5 PCB板布線圖附錄6參考文獻(xiàn)1 曹國清.數(shù)字電路與邏輯設(shè)計.徐州:中國礦業(yè)大學(xué)出版社,19982 謝自美.電子線路設(shè)計實驗測試.武漢:華中科技大學(xué)出版社,20003 康華光.電子技術(shù)基礎(chǔ)數(shù)字部分(第四版).北京:高等教育出版社,1998年第四版4 趙偉軍. PROTEL99SE教程.北京:人民郵電出版社,2004(第一版):47-54

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!