九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

【數(shù)字電路課程設(shè)計】霓虹燈控制電路設(shè)計報告.doc

上傳人:good****022 文檔編號:116538114 上傳時間:2022-07-05 格式:DOC 頁數(shù):33 大小:587.18KB
收藏 版權(quán)申訴 舉報 下載
【數(shù)字電路課程設(shè)計】霓虹燈控制電路設(shè)計報告.doc_第1頁
第1頁 / 共33頁
【數(shù)字電路課程設(shè)計】霓虹燈控制電路設(shè)計報告.doc_第2頁
第2頁 / 共33頁
【數(shù)字電路課程設(shè)計】霓虹燈控制電路設(shè)計報告.doc_第3頁
第3頁 / 共33頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《【數(shù)字電路課程設(shè)計】霓虹燈控制電路設(shè)計報告.doc》由會員分享,可在線閱讀,更多相關(guān)《【數(shù)字電路課程設(shè)計】霓虹燈控制電路設(shè)計報告.doc(33頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、更多課程設(shè)計、論文、畢業(yè)設(shè)計請訪問:http:/ 課程設(shè)計作為實踐教學(xué)的一個重要環(huán)節(jié),對提高學(xué)生的的創(chuàng)新能力有著重要的作用,通過這次課程設(shè)計,學(xué)生不僅能加強(qiáng)對理論知識的理解,而且提高了學(xué)生的動手能力,除此之外,還提高了學(xué)生解決問題的能力。隨著社會的不斷發(fā)展,廣告的地位和影響日益壯大,尤其是在當(dāng)今的經(jīng)濟(jì)時代,很多的商品都是依靠廣告來引導(dǎo)主流消費的。因此,廣告作為一種很重要的媒介,已經(jīng)成為了社會宣傳的主導(dǎo)方式。由于其多樣性決定了其巨大的開發(fā)空間。廣告彩燈作為廣告的一個重要元素,也漸漸成為廣告的靈魂,也成為了城市夜景的一道亮麗的風(fēng)景線。剛剛學(xué)完了數(shù)電知識,正好通過這次課程設(shè)計,進(jìn)一步鞏固了理論知識,

2、而且全面掌握了課設(shè)的基本流程,此外,分析與解決問題的能力也得到了相應(yīng)的提升。此次設(shè)計我們用到了555定時器構(gòu)成的秒脈沖發(fā)生器,74LS138,74LS161,JK觸發(fā)器以及各種邏輯門電路來實現(xiàn)我的方案,充分利用了模電和數(shù)電的知識來不斷地解決實驗過程中發(fā)現(xiàn)的問題,這也是這次課程設(shè)計的目的和意義所在。1 設(shè)計意義及要求 1.1 設(shè)計意義 在日常生活中,廣告燈也已成為了一道亮麗的風(fēng)景線。燈的種類繁多,變化多樣,所以設(shè)計廣告燈具有重大的實際意義。 學(xué)校安排此次課程設(shè)計,不僅讓我們聯(lián)系了所學(xué)知識,加以應(yīng)用和分析。而且提高自我分析問題的能力并加強(qiáng)了團(tuán)隊合作的精神。 通過這次設(shè)計,我熟悉各種元件的用法和功能

3、,也鍛煉了思維能力,最值得說的是:我們將所學(xué)知識轉(zhuǎn)化為實物,得到了極大的成就感和滿足感。1.2 設(shè)計要求設(shè)計一控制電路,要求彩燈能實現(xiàn)如下追逐圖案: 1) 第一層3只紅燈右移,每燈亮的時間為08秒; 2) 第二層3只藍(lán)燈右移,每燈亮的時間為08秒; 3) 第三層3只黃燈右移,每燈亮的時間為08秒; 4) 三色彩燈同時右移,每組燈亮的時間為16秒; 5) 三色彩燈同時左移,每組燈亮的時間為16秒;6) 彩燈控制電路工作狀態(tài)按照上述2至6步自動重復(fù)循環(huán)。2 方案設(shè)計2.1 設(shè)計思路 利用555定時器組成產(chǎn)生0.8s脈沖信號,通過JK觸發(fā)器組成的分頻電路將信號分為1.6s,用三片移位寄存器74LS1

4、94分別控制三種顏色不同的燈的亮滅。用一片74LS161和一片數(shù)據(jù)選擇器74LS153組成序列號產(chǎn)生電路,產(chǎn)生的信號“0001”作為移位寄存器右移的輸入信號,而“0000”作為移位寄存器左移的輸入信號。用一片74LS161和一片譯碼器74LS138作為數(shù)據(jù)發(fā)生器,產(chǎn)生的信號作為移位寄存器S0和S1的控制信號,控制移位寄存器左移,右移和保持的功能。 黃燈 藍(lán)燈 紅燈 移位寄存器移位寄存器移位寄存器0000或0001 譯碼器 時鐘信號 數(shù)據(jù)選擇器 計數(shù)器 計數(shù)器CP圖1 總體方框圖 本電路主要由4部分組成:脈沖輸出電路所控制的序號信號產(chǎn)生部分,作為移位寄存器的數(shù)據(jù)輸入端;控制移位寄存器左移、右移的

5、信號產(chǎn)生部分;移位寄存器部分,實現(xiàn)了燈的左移右移功能;由555定時電路和分頻電路組成的脈沖輸出部分。在555定時電路和分頻電路產(chǎn)生的脈沖的觸發(fā)下,計數(shù)器的Q0和Q1作為數(shù)據(jù)選擇器的地址端,在左移和右移的情況下分別產(chǎn)生序列信號“0000”和“0001”,作為移位寄存器的數(shù)據(jù)輸入,在脈沖的觸發(fā)下,74LS194將信號接納進(jìn)去,實現(xiàn)數(shù)據(jù)的傳遞,從而實現(xiàn)燈泡的追逐效果。而計數(shù)器74LS161和譯碼器74LS168組成的部分中,計數(shù)器被設(shè)計成為為五進(jìn)制,這是由于三個移位寄存器有五個狀態(tài)。而譯碼器有八個信號,可以根據(jù)真值表,得到移位寄存器控制輸入端的最簡邏輯表達(dá)式,并將譯碼器相應(yīng)的信號端引出,通過門電路組

6、成所需要的信號,來控制移位寄存器的左移、右移和保持的功能。移位寄存器的輸出端接有9個燈,為了實現(xiàn)其追逐的效果而有555定時電路組成的多諧振蕩器產(chǎn)生的0.8S的輸出信號,作為單層右移的脈沖。通過JK觸發(fā)器組成的分頻電路將0.8S的信號分為1.6S的信號作為三排燈同時左移右移的脈沖。2.2 方案設(shè)計 1) 我們小組總共設(shè)計了五套方案,五套方案有所區(qū)別也有相似。我的個人方案,設(shè)計原理圖如下: 圖2 個人方案原理圖 該電路中左移右移的輸入端均是由數(shù)據(jù)選擇器的輸出提供,但其輸出有兩種情況,“0000”和“0001”,在全部右移結(jié)束時,1X0的輸入由1變?yōu)?,所以在左移的過程中只有一個高電平,可以實現(xiàn)左移

7、追逐。但這個電路也有缺點,在左移完成時,移位寄存器其實仍為左移,所以在左移完成時,還要等待一段時間才能將左移變?yōu)橛乙啤?) 小組方案設(shè)計原理圖如下: 圖3 小組方案原理圖 此方案是用單片機(jī)做的,電路原理圖比較簡單 ,連好電路圖后只要根據(jù)設(shè)計要求編寫程序,最后將程序?qū)雴纹瑱C(jī),即可運行,但編寫程序時,一定要小心,而且在編寫的過程中不段的調(diào)試,直到程序無誤后,將其導(dǎo)入單片機(jī),如果運行時,發(fā)現(xiàn)功能仍然沒有實現(xiàn),要再次修改程序,根據(jù)電路圖所選的端口的順序和設(shè)計的功能要求,修改程序,在不斷的調(diào)試中得到正確的結(jié)果。2.3 方案比較個人方案中,由于曾中義和馮夢華的芯片用的是十位的,所以他們所用的芯片最少,但

8、其門電路過多,他們的設(shè)計思路有所相似,而我和陶海洋的方案思路和他們的有所不同,但我們的兩套方案有那個點,都是通過同樣的移位寄存器來實現(xiàn),但是在信號的采集上有所不同,另外在脈沖的產(chǎn)生電路和分頻電路也有所區(qū)別。小組方案跟個人方案比較,電路圖相當(dāng)簡單,但是編程的調(diào)試過程是比較困難,一定要小心。所以各有優(yōu)缺點。3 部分電路設(shè)計3.1 脈沖輸出電路3.1.1 0.8s信號產(chǎn)生 下圖是由555定時器構(gòu)成的秒脈沖發(fā)生器,它可以產(chǎn)生矩形方波: 圖4 555定時器構(gòu)成的秒脈沖發(fā)生器 根據(jù)555定時器的功能表可知,由555定時器構(gòu)成的多諧振蕩器,接通電源后,電容C被充電,當(dāng)VC上升到2/3VCC時,觸發(fā)器被復(fù)位,

9、同時發(fā)電BJT, T導(dǎo)通,此時VO為低電壓,電容C通過R2和T放電,使VC下降。當(dāng)VC下降到(1/3)VCC時,觸發(fā)器又被置位,VO翻轉(zhuǎn)為高電平。電容器C放電所需的時間為: tPL=R2Cln2 可近似看成tPL=0.7R2C當(dāng)C放電結(jié)束時,T截止,VCC將通過R1、R2向電容器C充電,VC由(1/3)VCC上升到(2/3)VCC所需的時間為: tPH=(R1+R2)Cln2 可近似看成tPH=0.7(R1+R2)C而當(dāng)VC上升到(2/3)VCC時,觸發(fā)器又周而復(fù)始,在輸出端就得到一個周期性的方波,其頻率為: f=1/( tPL +tPH) 可近似看成f=1.43/(R1+2R2)C555定時

10、器引腳圖如圖5所示,555定時器功能表如表1所示: VCC DIS TH CO87655551234 GND TR OUT RD圖5 555定時器表1 555定時器功能表輸入輸出閾值輸入(V11)觸發(fā)值(V12)復(fù)位(RD)輸出(VO)放電管T00導(dǎo)通(2/3)VCC(2/3)VCC(1/3)VCC10導(dǎo)通(1/3)VCC1不變不變3.1.2 信號分頻及選擇 本電路需要的信號有兩種,0.8s和1.6s,且在全部移動時采用1.6s的信號,因此要對0.8s的信號進(jìn)行分頻,再根據(jù)采集的信號選取相應(yīng)的時鐘信號。 圖6 信號分頻及選擇JK觸發(fā)器下降沿有效,J=K=1時,每來一個脈沖變化一次,因此它的輸出

11、是1.6S的信號,當(dāng)Y3與Y4同時為1,根據(jù)電路,可以知道此時為單層燈移動,另外根據(jù)分頻電路可以知道此時輸出的也為0.8s的信號,而當(dāng)Y3和Y4中有一個0時,電路為全部左移或全部右移的過程。此時電路輸出的也為1.6s的信號,實現(xiàn)了分頻和信號的選擇的過程。1) JK觸發(fā)器的管腳圖和真值分別如圖7所示:Q Q91615141312111012345678VCC 1RD 2RD 2CP 2K 2J 2SD 2Q 74LS1121CP 1K 1J 1SD 1Q 1Q 2Q GNDSD J CP K RD 圖7 JK觸發(fā)器管腳圖 表2 JK觸發(fā)器真值表JKQn+1邏輯功能00Qn 保持010置0101置

12、111Qn翻轉(zhuǎn)2) 74LS00與非門的管腳圖如圖8所示,真值表如表3所示: 圖8 74LS00管腳圖 表3 74LS00的真值表 3) 74LS04非門的引腳圖如圖9所示,真值表如表4所示: 圖9 74LS04非門的引腳圖 表4 74LS04非門的真值表 4) 74LS08與門的引腳圖如圖10所示,其真值表如表5所示: 圖10 74LS08管腳圖 表5 74LS08與門的真值表 3.2 實現(xiàn)控制功能的電路 1) 電路中有有3個74LS194芯片,共有5個狀態(tài),可以用74LS161和74LS138來實現(xiàn),電路如圖11所示: 圖 11 實現(xiàn)控制功能電路 此圖是由74LS161和74LS138來實

13、現(xiàn)的,通過反饋清零法將74LS161設(shè)置成為五進(jìn)制計數(shù)器,并通過譯碼器輸出信號,為移位寄存器提供控制信號的來源。而此電路的脈沖來源如圖12所示: 圖12 脈沖來源電路由于在軟件中沒有三輸入或門,所以采用四輸入或門,只要將其中一個接低電平即可,其他三個輸入端將74LS194的輸出端Q31,Q32,Q33接入。當(dāng)每排燈右移結(jié)束時或門的輸出由0變?yōu)?,計數(shù)器得到一個觸發(fā)信號,于是移位寄存器的狀態(tài)發(fā)生變化。當(dāng)全部右移結(jié)束時,或門還有一個上升的轉(zhuǎn)變,于是信號左移,而此時每排燈只有一個高電平,輸入為“0000”,所以可以實現(xiàn)追逐效果。當(dāng)全部左移完成時,輸入有所變化,變?yōu)榈谝粋€狀態(tài),單個右移,實現(xiàn)循環(huán)效果。

14、 2) 根據(jù)74LS194的五個狀態(tài)知道,74LS138的輸出也要為五個狀態(tài),三個移位寄存器的移位控制信號可以得出S0和S1的真值表如表6所示: 表6 控制信號的真值表138有效信號S01S11S02S12S03S13Y0101111Y1111011Y2111110Y3101010Y4010101 取0為有效信號,可得各控制信號的邏輯表達(dá)式: S01=S02=S03=Y4 S11=Y0.Y3 S12=Y1.Y3 S13=Y2.Y3 按照上面的邏輯表達(dá)式可以經(jīng)過門電路得到移位寄存器所需要的狀態(tài)的信號。具體如圖13所示: 圖13 狀態(tài)選擇電路 3) 74LS138譯碼器的管腳圖如圖14所示,真值表

15、如表7所示: Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 74LS138 A B C E1 E2A E2B圖 14 138管腳圖 表7 74LS138譯碼器的真值表4) 74LS161計數(shù)器的管腳圖如圖15所示,而其真值表如表8所示:910111213141516 VCC TC Q0 Q1 Q2 Q3 CET PE 74LS161 R CP D0 D1 D2 D3 CEP GND87634521圖15 161管腳圖 清0預(yù)置控制時鐘預(yù)置數(shù)據(jù)輸入輸 出0XXXXXXXX000010XXDCBADCBA110XXXXXX保 持11X0XXXXX保 持1111XXXX計 數(shù) 表8 74LS161

16、的功能表表3.3 序列信號產(chǎn)生電路 1) 序列信號產(chǎn)生電路主要是產(chǎn)生0001和0000信號,作為左移右移的輸入信號,電路如圖16所示:圖 16 序列信號產(chǎn)生電路 序列信號產(chǎn)生電路由一片74LS161計數(shù)器和一片74LS153(由于軟件中沒有74LS151)數(shù)據(jù)選擇器構(gòu)成,161在脈沖的作用下計數(shù),將00到11不斷的送給153可將1X0到3X0逐個輸出,送入移位寄存器的SR端,SL端。 當(dāng)右移時的信號為0001,而左移的信號為0000,所以關(guān)鍵就是1X0的輸入,它的采集信號電路圖如圖17所示 圖 17采集信號電路圖 本可用三輸入與非門,由于軟件中沒有這個元件,所以用四輸入與非門代替,只要將一個輸

17、入接高電平即可。其它三個輸入端接74LS194的輸出端Q31,Q32,Q33。當(dāng)單個燈右移時,與非門輸出肯定為1,這是序列產(chǎn)生電路產(chǎn)生信號1000。當(dāng)全部右移時,產(chǎn)生1000序列, 而當(dāng)其結(jié)束時與非門輸出為0,這是變?yōu)槿孔笠?,而序列電路產(chǎn)生的信號為0000,一直這樣循環(huán),符合設(shè)計要求。2) 74LS153數(shù)據(jù)選擇器的管腳圖如圖18所示,真值表如表9所示: 圖18 74LS153管腳圖 表9 74LS153的真值表A1A0SYXX10000D0010D1100D2110D33.4 譯碼及輸出部分 1)此電路由三片移位寄存器74LS1194和9個發(fā)光二極管構(gòu)成,電路圖如圖19所示: 圖19 譯碼

18、及輸出電路 兩個門電路已經(jīng)在前面敘述過。每個74LS194都有三個狀態(tài),分別為左移、右移和置數(shù)。置數(shù)端全部接地,所以剛開始輸出全為0,燈不亮。當(dāng)左移右移時,相應(yīng)的輸入信號被輸入,并將信號傳遞下去,這樣就可以形成追逐的效果。2) 74LS194的管腳圖如圖20所示,真值表如表10所示: 圖20 194的管腳圖 表10 74LS194的真值表功能輸入輸出CLRS1S0CPSRSLD0D1D2D3Q0n+1Q1n+1Q2n+1Q3n+1清除00000保持10保持100置數(shù)111d0d1d2d3d1d2d3d4右移10111Q0Q1Q200Q0Q1Q2左移1101Q1Q2Q310Q1Q2Q30 4 調(diào)

19、試與檢測 通過PROTUES仿真軟件進(jìn)行仿真,發(fā)現(xiàn)方案廣告彩燈發(fā)生混亂,經(jīng)檢查是觸發(fā)器和邏輯門的接法錯誤,經(jīng)過改正后能夠正常顯示出功能。但是在花樣實現(xiàn)了之后,時間0.8s和1.6s沒有實現(xiàn),經(jīng)檢查調(diào)試,發(fā)現(xiàn)網(wǎng)絡(luò)標(biāo)號沒有表正確,導(dǎo)致功能控制信號沒有接入信號選擇電路,導(dǎo)致脈沖時間沒有實現(xiàn)。 在用74LS161接成五進(jìn)制時,在電路仿真時,發(fā)現(xiàn)出現(xiàn)問題,沒有五個狀態(tài),經(jīng)檢查發(fā)現(xiàn)不是五進(jìn)制計數(shù),因為161是異步清零,要在輸出101時才清零,而起初接成了100清零。 由于芯片和門電路用得過多,導(dǎo)致連線有遺漏,起初對一些芯片的功能用法也有所出入,導(dǎo)致接法錯誤,這都是在調(diào)試和檢測中不斷理解和糾正的。 在用單片

20、機(jī)做小組方案時,編寫程序的過程中出現(xiàn)很多問題,燈亮得很混亂,經(jīng)檢查,是由于沒有把單片機(jī)的端口的順序弄清。另外,燈在實現(xiàn)時間上不正確,由于在用中斷定時程序時,沒有關(guān)閉中斷或循環(huán)的次數(shù)有問題。 在用小組方案做實物時,也出現(xiàn)了不少的問題,要根據(jù)功能的實現(xiàn)來修改程序代碼。另外由于開發(fā)板上的發(fā)光二極管是共陰接法,而仿真圖為共陽接法,所以應(yīng)將相應(yīng)的高低電平互換5 體會與總結(jié)做這個設(shè)計,我從中收益良多: 首先,這次的廣告彩燈控制電路的設(shè)計,綜合運用了數(shù)電所學(xué)的各種元器件,并且鞏固了數(shù)電理論知識,以及提高用理論聯(lián)系實際的能力。通過這次的數(shù)電課程設(shè)計,加強(qiáng)了我的動手、思考和解決問題的能力。 其次,在這次設(shè)計中,

21、我了解了以前一知半解的東西,比如一些芯片的用法,如74LS138,74LS161,74LS194等芯片的功能和用法。 第三,為了這次課程設(shè)計,我專門學(xué)習(xí)了proteus軟件,對其基本操作有了更深的了解與掌握。除此之外,通過這次自學(xué),進(jìn)一步提高了自學(xué)的能力。 第四,在做小組方案時,出現(xiàn)了很多分歧,但在經(jīng)過討論后便達(dá)成了共識,這個過程讓我學(xué)習(xí)到團(tuán)隊合作的重要性,并且學(xué)會了如何去分配工作,如何去解決設(shè)計過程的問題都是我們應(yīng)該學(xué)會的。 第五,通過這次課設(shè),我基本掌握了課程設(shè)計的一個流程,過程中要學(xué)會如何去學(xué)習(xí)你沒有遇到的東西,如何去調(diào)試過程中出現(xiàn)的問題,這是關(guān)鍵,并在這個基礎(chǔ)上不斷創(chuàng)新,這就是我們課設(shè)

22、的目的所在。 第六,在做實物的時候,一定要有耐心,認(rèn)真調(diào)試,才能得出正確的結(jié)果。遇見問題要冷靜對待,從調(diào)試中獲得一份快樂和一份知識,這樣才能更好地鍛煉自己的能力。 第七,痛苦是必不可少的過程,只要你堅持,付出了汗水就會有回報,這樣你才能感受到成功的喜悅。 對我而言,知識上的收獲很重要,精神上的滿足也讓我十分開心。挫折是一份財富,經(jīng)歷是一份擁有,通過這次的課程設(shè)計任務(wù),我真真正正的學(xué)到了書本上沒有的那些知識和寶貴的經(jīng)驗。參考文獻(xiàn)1 康華光主編.電子技術(shù)基礎(chǔ)數(shù)字部分(第四版),高等教育出版社2 祁存榮,陳偉.電子技術(shù)基礎(chǔ)實驗(數(shù)字部分) 武漢理工大學(xué)教材中心附錄一 方案一(個人方案原理圖):方案二

23、(小組方案原理圖):附錄二方案二的程序:#includereg52.h#include#define uint unsigned int#define uchar unsigned charuint t;uchar d;sbit p00=P00;sbit p01=P01;sbit p02=P02;sbit p03=P03;sbit p04=P04;sbit p05=P05;sbit p06=P06;sbit p07=P07;sbit p08=P20;uint i;void main(void)TMOD=0 x01;EA=1;TH0=(65536-50000)/256;TL0=(65536-50

24、000)%256;ET0=1;TR0=1;while(1)d=0 xfe;P0=d;p08=1;for(i=7;i0;i-)while(t!=16);t=0;d=_crol_(d,1);P0=d;while(t!=16);t=0;P0=0 xff;p08=0;while(t!=16);t=0;p00=0;p01=1;p02=1;p03=0;p04=1;p05=1;p06=0;p07=1;p08=1;while(t!=32);t=0;p00=1;p01=0;p02=1;p03=1;p04=0;p05=1;p06=1;p07=0;p08=1;while(t!=32);t=0;p00=1;p01=1

25、;p02=0;p03=1;p04=1;p05=0;p06=1;p07=1;p08=0;while(t!=32);t=0;p00=1;p01=1;p02=1;p03=1;p04=1;p05=1;p06=1;p07=1;p08=1;while(t!=10);t=0;p00=1;p01=1;p02=0;p03=1;p04=1;p05=0;p06=1;p07=1;p08=0;while(t!=32);t=0;p00=1;p01=0;p02=1;p03=1;p04=0;p05=1;p06=1;p07=0;p08=1;while(t!=32);t=0;p00=0;p01=1;p02=1;p03=0;p04

26、=1;p05=1;p06=0;p07=1;p08=1;while(t!=32);t=0;p00=1;p03=1;p06=1; while(t!=10); t=0;void time1() interrupt 1TH0=(65536-50000)/256;TL0=(65536-50000)%256;t+;本科生課程設(shè)計成績評定表姓 名性 別專業(yè)、班級課程設(shè)計題目:課程設(shè)計答辯或質(zhì)疑記錄:成績評定依據(jù):設(shè)計方案與內(nèi)容(30分)制作與調(diào)試(20分)說明書內(nèi)容與規(guī)范程度(30分)答 辯(10分)學(xué)習(xí)態(tài)度與考勤(10分)總 分(100分)最終評定成績(以優(yōu)、良、中、及格、不及格評定)指導(dǎo)教師簽字: 2010年 7月 12日 33

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!