九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

DSP課程設(shè)計(jì)論文.doc

上傳人:good****022 文檔編號(hào):116551653 上傳時(shí)間:2022-07-05 格式:DOC 頁數(shù):46 大?。?.63MB
收藏 版權(quán)申訴 舉報(bào) 下載
DSP課程設(shè)計(jì)論文.doc_第1頁
第1頁 / 共46頁
DSP課程設(shè)計(jì)論文.doc_第2頁
第2頁 / 共46頁
DSP課程設(shè)計(jì)論文.doc_第3頁
第3頁 / 共46頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《DSP課程設(shè)計(jì)論文.doc》由會(huì)員分享,可在線閱讀,更多相關(guān)《DSP課程設(shè)計(jì)論文.doc(46頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、DSP課程設(shè)計(jì)論文摘 要本論文首先介紹了濾波器的濾波原理以及數(shù)字濾波器的設(shè)計(jì)方法及過程。重點(diǎn)介紹了FIR數(shù)字濾波器的設(shè)計(jì)方法。即各種窗函數(shù)法和等效最佳一致逼近法。在此基礎(chǔ)上,用DSP虛擬實(shí)現(xiàn)任意階FIR數(shù)字濾波器。此設(shè)計(jì)擴(kuò)展性好,便于調(diào)節(jié)濾波器的性能,可以根據(jù)不同的要求在DSP上加以實(shí)現(xiàn)。濾波是信號(hào)處理中最基本又極為重要的技術(shù),利用濾波器技術(shù)可以從復(fù)雜的信號(hào)中提取出所需要的信號(hào),抑制不需要的信號(hào)。絕大多數(shù)傳感器輸出的信號(hào),在使用過程中,都必須進(jìn)行濾波。所以濾波器是具有一定傳輸選擇特性的、對(duì)信號(hào)進(jìn)行加工處理的裝置、它允許輸入信號(hào)中的一些成分通過,抑制或衰減另一些成分。其功能是將輸入信號(hào)變換為人們

2、所需要的輸入信號(hào)。本論文主要給出了FIR數(shù)字濾波器的設(shè)計(jì)方法和原理。關(guān)鍵字:DSP;濾波;FIR數(shù)字濾波器;AbstractThis thesis introduced the design method and process of filtering of filter a principle and number filter first. Particularly introduced the design method of FIR number filter. Then the various window function method and etc. effect is th

3、e best to unanimously approach a method. On this foundation, carry out the rank FIR number with the DSP conjecture the filter is arbitrarily.This design expands sex well, easy to regulate the function of filter, can take into to carry out on DSP according to the different request. Filtering the wave

4、 is the most basic and extremely and important technique in the signal processing, making use of the filter technique can withdraw the signal that needs from the complicated signal and repress dont need of signal.The great majority spreads the feeling machine outputs signal, in the process of using

5、in, have to carry on filtering wave.So filter is have to definitely deliver choice characteristic, carry on to the signal process processed device, it to allow importation signal in of some compositions pass and repress or the Shuai reduce a little bit another compositions.Its function is importatio

6、n signal the transfor- mation is the importation signal that people need.This thesis mainly gave the design method and principle of FIR number filter. Keywords: DSP;Filter wave;FIR number filter;目 錄摘 要IAbstractII目 錄III第一章 緒論11.1 DSP展發(fā)史11.2 DSP的基本特征11.3 TMS320C54xx的硬件結(jié)構(gòu)21.3.1 C54X的CPU體系結(jié)構(gòu)21.3.2 指令緩沖單

7、元(I)31.3.3 程序流程單元(P)31.3.4 地址程序單元(A)31.3.5 數(shù)據(jù)計(jì)算單元(D)41.4 DSP的設(shè)計(jì)41.4.1 DSP的設(shè)計(jì)特點(diǎn)41.4.2 DSP系統(tǒng)的設(shè)計(jì)流程5第二章 FIR數(shù)字濾波器的簡(jiǎn)介及基本原理62.1 數(shù)字濾波器的簡(jiǎn)介62.2 FIR數(shù)字濾波器的結(jié)構(gòu)72.3 FIR數(shù)字濾波器的特性92.3.1 FIR數(shù)字濾波器的相位特性92.3.2 線性相位FIR數(shù)字濾波器的幅度特性10第三章 基于MATLAB的FIR數(shù)字濾波器設(shè)計(jì)143.1 數(shù)字濾波器的設(shè)計(jì)方法描述143.2 常用窗函數(shù)及設(shè)計(jì)方法143.3 基于切比雪夫等效一致逼近法193.4 FIR數(shù)字濾波器的MA

8、TLAB設(shè)計(jì)203.4.1 用各種窗函數(shù)設(shè)計(jì)FIR數(shù)字濾波器203.4.2 用remez函數(shù)設(shè)計(jì)FIR數(shù)字低通濾波器223.4.3 應(yīng)用FDATOOl設(shè)計(jì)FIR濾波器26第四章 FIR數(shù)字濾波器的DSP實(shí)現(xiàn)(CCS仿真)304.1 CSS的特點(diǎn)304.2 CCS代碼生成工具及程序流程圖304.3 CCS集成開發(fā)環(huán)境的配置324.4 CCS 集成開發(fā)環(huán)境應(yīng)用(與MATLAB聯(lián)合仿真)33第五章 參 考 文 獻(xiàn)4242第一章 緒論1.1 DSP發(fā)展史自20世紀(jì)70年代末80年代初DSP芯片誕生以來DSP芯片得到了飛速的發(fā)展。DSP產(chǎn)品的應(yīng)用己擴(kuò)大到人們的學(xué)習(xí)、工作和生活的各個(gè)方面,并逐漸成為電子產(chǎn)

9、品更新?lián)Q代的決定因素。DSP發(fā)展歷程大致分為三個(gè)階段:20世紀(jì)70年代理論先行,80年代產(chǎn)品普及和90年代的突飛猛進(jìn)。在DSP出現(xiàn)之前數(shù)字信號(hào)處理只能依靠微處理器(MPU)來完成。但MPU較低的處理速度無法滿足高速實(shí)時(shí)的要求。因此,直到20世紀(jì)70年代,有人才提出了DSP的理論和算法基礎(chǔ)。隨著大規(guī)模集成電路技術(shù)的發(fā)展,1978年AMI公司生產(chǎn)出世界上第一片DSP芯片52811。1979年美國(guó)Intel公司發(fā)布的商用可編程器2920是DSP芯片的一個(gè)重要里程碑。1980年日本NEC公司推出的月PD7720是第一個(gè)具有乘法器的商用DSP芯片。幾年后,第二代基于CMOS工藝的DSP芯片應(yīng)運(yùn)而生。80

10、年代后期,第三代DSP芯片問世。90年代DSP發(fā)展最快,相繼出現(xiàn)了第四代和第五代DSP器件。在這之后,最成功的DSP芯片當(dāng)數(shù)美國(guó)德州儀器公司 (Texas Instruments,簡(jiǎn)稱TI)的一系列產(chǎn)品,其DSP市場(chǎng)份額占全世界份額近的50%。目前DSP芯片的價(jià)格越來越低,性能價(jià)格比日益提高,具有巨大的應(yīng)用潛力。經(jīng)過20年的發(fā)展,DSP器件在高速度,可編程,小型化,低功耗等方面都有了長(zhǎng)足的發(fā)展,單片DSP芯片最快每秒可完成16億次(160OMIPS)的運(yùn)算,生產(chǎn)DSP器件的公司也不斷壯大。在當(dāng)今的數(shù)字化時(shí)代,DSP己成為通信設(shè)備、計(jì)算機(jī)和其它電子產(chǎn)品的基礎(chǔ)器件。數(shù)字信號(hào)處理器與數(shù)字信號(hào)處理有著

11、密不可分的關(guān)系,我們通常說的“DSP”可以指數(shù)字信號(hào)處理 (Digital Signal Processing),也可以代表數(shù)字信號(hào)處理器(Digital signal Processor)在本文里均指數(shù)字信號(hào)處理器。數(shù)字信號(hào)處理是一門包括了許多學(xué)科并應(yīng)用于很多領(lǐng)域的學(xué)科,是指利用計(jì)算機(jī)或是專用處理設(shè)備,以數(shù)字形式對(duì)信號(hào)進(jìn)行分析、采集、合成、變換、濾波、估值、壓縮、識(shí)別等處理,得到符合要求的信號(hào)形式。數(shù)字信號(hào)處理器是用于處理數(shù)字信號(hào)的器件,因此它是伴隨著數(shù)字信號(hào)處理才產(chǎn)生的。1.2 DSP的基本特征本設(shè)計(jì)采用的是TMS320C54x系列DSP,具有改進(jìn)的哈佛結(jié)構(gòu)、硬件乘法器、流水線結(jié)構(gòu)、高效特

12、殊才旨令集等優(yōu)點(diǎn),使它的處理速度和容量大大提高,為數(shù)字濾波中的復(fù)雜算法的實(shí)現(xiàn)提供了硬件的保證。1、哈佛總線結(jié)構(gòu)計(jì)算機(jī)的總線結(jié)構(gòu)可分為兩種。一種是馮諾依曼結(jié)構(gòu),其特點(diǎn)是程序和數(shù)據(jù)共用一個(gè)存儲(chǔ)空間。統(tǒng)一編址依靠指令計(jì)數(shù)器提供的地址來區(qū)分是指令數(shù)據(jù)還是地址。由于對(duì)數(shù)據(jù)和程序進(jìn)行分時(shí)讀寫,執(zhí)行速度漫。但是半導(dǎo)體工藝的飛速發(fā)展克服了這一缺陷,同時(shí)這一結(jié)構(gòu)使計(jì)算機(jī)結(jié)構(gòu)得到簡(jiǎn)化,并成為計(jì)算機(jī)發(fā)展的一個(gè)標(biāo)準(zhǔn)。但由于原理上的特點(diǎn),這一結(jié)構(gòu)并不適合進(jìn)行具有高度實(shí)時(shí)要求的數(shù)字信號(hào)處理。哈佛結(jié)構(gòu)與馮諾依曼結(jié)構(gòu)相比,其主要特點(diǎn)是程序和數(shù)據(jù)具有獨(dú)立的存儲(chǔ)空間,有著各自獨(dú)立的程序總線和數(shù)據(jù)總線,大大地提高了數(shù)據(jù)處理能力,非

13、常適合于實(shí)時(shí)數(shù)字信號(hào)處理。TI公司的DSP芯片的結(jié)構(gòu)是改進(jìn)的哈佛結(jié)構(gòu),內(nèi)部采用三個(gè)并行的總線,程序讀寫總線、PAB)、讀數(shù)據(jù)總線 (DABI)、寫數(shù)據(jù)總線(DABZ),每組總線連接不同的存儲(chǔ)器空間,使程序存儲(chǔ)器與數(shù)據(jù)存儲(chǔ)器成為獨(dú)立的兩個(gè)存儲(chǔ)空間,并且允許數(shù)據(jù)在程序存儲(chǔ)器和數(shù)據(jù)存儲(chǔ)器之間進(jìn)行傳遞,這種結(jié)構(gòu)允許取指令和取操作數(shù)并行進(jìn)行,增加了器件的運(yùn)算速度。2、硬件乘法器在通用的計(jì)算機(jī)上,算術(shù)邏輯單元(ALU)只能完成兩個(gè)操作數(shù)的加、減及邏輯運(yùn)算,而乘法(或除法)則是由加法和移位來實(shí)現(xiàn)。因此它們實(shí)現(xiàn)乘加運(yùn)算就比較慢,而在數(shù)字濾波算法中需要大量的乘加運(yùn)算,在TMs320c55xDSP中有一個(gè)硬件乘法

14、器,可以在一個(gè)指令周期內(nèi)完成一次乘法和一次加法運(yùn)算,可以大大提高數(shù)字濾波系統(tǒng)的運(yùn)算速度。3、流水線結(jié)構(gòu)TMS320C54xDSP采用四級(jí)流水線指令操作方式,減少了指令的執(zhí)行時(shí)間,提高了處理器的吞吐量。任何處理器執(zhí)行一條指令,總是要經(jīng)過取指令、譯碼、讀操作數(shù)和執(zhí)行指令四個(gè)階段,需要若干個(gè)機(jī)器周期才能完成。TMS320C54xDSP系列由于指令和操作數(shù)來自不同的空間,同一時(shí)刻,可以有四條指令的不同階段在并行處理,盡管每條指令執(zhí)行的時(shí)間仍然是幾個(gè)機(jī)器周期,但由于指令的流水作業(yè),實(shí)現(xiàn)了多條指令的并行執(zhí)行。4、高效指令集數(shù)字信號(hào)處理運(yùn)算的特點(diǎn)之一就是單一運(yùn)算的重復(fù)執(zhí)行,在通用的處理器中,一般采用軟件的方

15、法來解決,基本方法是采用循環(huán)控制或指令重復(fù),循環(huán)控制除了在判斷行環(huán)次數(shù)及操作轉(zhuǎn)向上需要指令開銷外,還會(huì)因DSP流水線的頻繁中斷而造成相當(dāng)大的開銷:指令重復(fù)的代價(jià)是增加了程序代碼長(zhǎng)度,占用更多的存儲(chǔ)空間。而在TM5320C54x DSP中設(shè)置了硬件循環(huán)控制電路,提供了重復(fù)指令,實(shí)現(xiàn)零開銷的循環(huán)控制。所有這些優(yōu)點(diǎn)使得DSP非常適合來做數(shù)字濾波器的硬件電路。1.3 TMS320C54xx的硬件結(jié)構(gòu) 1.3.1 C54X的CPU體系結(jié)構(gòu) C54X有1條32位的程序數(shù)據(jù)總線(PB),5條16位數(shù)據(jù)總線(BB、CB、DB、EB、FB)和1條24位的程序地址總線及5條23位地址總線,這些總線分別與CPU相連

16、??偩€通過存儲(chǔ)單元接口(M)與外部程序總線和數(shù)據(jù)總線相連,實(shí)現(xiàn)CPU對(duì)外部存儲(chǔ)器的訪問。這種并行的多總線結(jié)構(gòu),使CPU能在一個(gè)CPU周期內(nèi)完成1次32位程序代碼讀、3次16位數(shù)據(jù)讀和兩次16位數(shù)據(jù)寫。C55X根據(jù)功能的不同將CPU分為4個(gè)單元,指令緩沖單元(I)、程序流程單元(P)、地址流程單元(A)、和數(shù)據(jù)計(jì)算單元(D)。 讀程序地址總線(PDA)上傳送24位的程序代碼地址,由讀程序總線(PB)將32位的程序代碼送入指令緩沖單元進(jìn)行譯碼。 1.3.2 指令緩沖單元(I)C54X的指令緩沖單元有指令緩沖隊(duì)列IBQ和指令譯碼器組成。在每個(gè)CPU周期內(nèi),I單元將從程序數(shù)據(jù)接收的4B程序代碼放入指令

17、緩沖隊(duì)列,指令譯碼器從隊(duì)列中取6B程序代碼,根據(jù)指令的長(zhǎng)度可對(duì)8位、16位、24位、32位和48位的變長(zhǎng)指令進(jìn)行譯碼,然后把譯碼數(shù)據(jù)送入P單元、A單元和D單元去執(zhí)行。 1.3.3 程序流程單元(P)程序流程單元有程序地址產(chǎn)生電路和寄存器組湊成。程序流程單元產(chǎn)生所有程序空間的地址,并控制指令的讀取順序。程序地址產(chǎn)生邏輯電路的任務(wù)是產(chǎn)生讀取空間的24位地址。一般情況下,它產(chǎn)生的是連續(xù)地址,如果指令要求讀取非連續(xù)地址的程序代碼時(shí),程序地址產(chǎn)生邏輯電路能夠接收來自I單元的立即數(shù)和來自D單元的寄存器值,并將產(chǎn)生的地址傳送到PAB。 在P單元中使用的寄存器分為5種類型: a.程序流寄存器:包括程序計(jì)數(shù)器、

18、返回地址寄存器和控制流程關(guān)系寄存器。 b.塊重復(fù)寄存器:包括塊重復(fù)寄存器0和1(BRC0、BRC1)BRC1的保存寄存器(BRS1)、塊重復(fù)起始地址寄存器0和1以及塊重復(fù)結(jié)束地址寄存器0和1。 c.單重復(fù)寄存器:包括單重復(fù)寄存器和計(jì)算單重復(fù)寄存器。 d.中斷寄存器:包括中斷標(biāo)志寄存器0和1、中斷使能寄存器0和1以及調(diào)試中斷使能寄存器0和1。 e.狀態(tài)奇存期:包括狀態(tài)寄存器0,1,2和3。 1.3.4 地址程序單元(A) 地址程序單元包括數(shù)據(jù)地址產(chǎn)生電路、算術(shù)邏輯電路和寄存器組構(gòu)成。 數(shù)據(jù)地址產(chǎn)生電路能夠接收來自I單元的立即數(shù)和來自A單元的寄存器產(chǎn)生讀取數(shù)據(jù)空間的地址。對(duì)于使用間接尋址模式的指令

19、,有P單元向DAGEN說明采用的尋址模式。 A單元包括一個(gè)16位的算術(shù)邏輯單元,它既可以接收來自I單元的立即數(shù)也可以與存儲(chǔ)器、I/O空間、A單元寄存器、D單元寄存器和P單元寄存器進(jìn)行雙向通信。 A單元包括的寄存器有以下幾種類型: a.數(shù)據(jù)頁寄存器:包括數(shù)據(jù)頁寄存器和接口數(shù)據(jù)頁寄存器; b.指針:包括系數(shù)數(shù)據(jù)指針寄存器、堆棧針寄存器和8個(gè)輔助寄存器; c.循環(huán)緩沖寄存器:包括循環(huán)緩沖大小寄存器、循環(huán)緩沖起始地址寄存器; d.臨時(shí)寄存器:包括臨時(shí)寄存器。 1.3.5 數(shù)據(jù)計(jì)算單元(D)數(shù)據(jù)計(jì)算單元由移位器、算數(shù)邏輯電路、乘法累加器和寄存器組構(gòu)成。D單元包含了CPU的主要運(yùn)算部件。 D單元移位器能夠

20、接收來自I單元的立即數(shù),能夠與存儲(chǔ)器、I/O單元、A單元寄存器、D單元寄存器和P單元寄存器進(jìn)行雙向通信,此外,還可以向D單元的ALU和A單元的ALU提供移位后的數(shù)據(jù)。移位可以完成以下操作: a.對(duì)40位的累加器可以完成向左最多32位的移位操作,移位數(shù)樂意從零食寄存器讀取或由指令中的常數(shù)提供; b.對(duì)于16位寄存器、存儲(chǔ)器或I/O空間數(shù)據(jù)可完成左移31位或32位的移位操作; c.對(duì)于16位立即數(shù)可完成向左移最多15位的移位操作。 1.4 DSP的設(shè)計(jì)1.4.1 DSP的設(shè)計(jì)特點(diǎn)DSP系統(tǒng)是以數(shù)字信號(hào)處理為基礎(chǔ)的,因此不但具有數(shù)字處理的全部?jī)?yōu)點(diǎn)而且還具有以下特點(diǎn):a.接口方便:DSP應(yīng)用系統(tǒng)與其他

21、以現(xiàn)代;之字技術(shù)為基礎(chǔ)的系統(tǒng)或設(shè)備都是相互兼容的,這樣的系統(tǒng)接口以實(shí)現(xiàn)某種功能要比模擬系統(tǒng)與這些系統(tǒng)接口要容易得多。b.編程方便:DSP應(yīng)用系統(tǒng)中的可編程DSP芯片,能靈活方便地進(jìn)行修改和升級(jí)。c.穩(wěn)定性好:DSP應(yīng)用系統(tǒng)以數(shù)字處理為基礎(chǔ),受環(huán)境溫度及噪聲的影響較小、可靠性高,無器件老化現(xiàn)象。d.精度高:16位數(shù)字系統(tǒng)可以達(dá)到10一級(jí)的精度。e.可重復(fù)性好:模擬系統(tǒng)的性能受元器件參數(shù)性能變化的影響比較大,而數(shù)字系統(tǒng)基本不受影響,因此數(shù)字系統(tǒng)便于測(cè)試、調(diào)試和大規(guī)模生產(chǎn)。f.集成方便:DSP應(yīng)用系統(tǒng)中的數(shù)字部件有高度的規(guī)范性,便于大規(guī)模集成。當(dāng)然,數(shù)字信號(hào)處理也存在一些缺點(diǎn)。例如,對(duì)于簡(jiǎn)單信號(hào)處理

22、任務(wù),若采用DSP則使成本增加。DSP系統(tǒng)中的高速時(shí)鐘可能帶來高頻干擾和電磁泄漏等問題,而且DSP系統(tǒng)消耗的功率也較大。此外,DSP技術(shù)更新速度快,對(duì)于數(shù)學(xué)知識(shí)要求高,開發(fā)和測(cè)試工具還有待進(jìn)一步完善。1.4.2 DSP系統(tǒng)的設(shè)計(jì)流程一個(gè)DSP系統(tǒng)的設(shè)計(jì)過程大概要有以下幾個(gè)步驟:a.根據(jù)系統(tǒng)的任務(wù)要求,確定系統(tǒng)處理精度要求、速度要求、實(shí)時(shí)性要求等性能指標(biāo)。b.根據(jù)系統(tǒng)的要求進(jìn)行高級(jí)語言的算法模擬,比如使用MATLAB等仿真工具,驗(yàn)證算法的可行性,得出最佳的處理方法。c.DSP的系統(tǒng)設(shè)計(jì),主要分為硬件設(shè)計(jì)和軟件設(shè)計(jì)。硬件設(shè)計(jì)是指根據(jù)系統(tǒng)要求選擇合適的DSP芯片然后設(shè)計(jì)相應(yīng)的外圍電路。軟件設(shè)計(jì)主要是

23、指根據(jù)系統(tǒng)的要求和選用的DSP芯片編寫相應(yīng)的程序。程序的編寫可以使用匯編語言,匯編語言編寫的程序效率高,但比較煩雜;也可采用C語言,DSP的C語言基本上是標(biāo)準(zhǔn)C語言,編寫比較簡(jiǎn)單,但效率低。在實(shí)際系統(tǒng)開發(fā)時(shí)往往是兩種語言結(jié)合編寫,在算法運(yùn)算量大的地方使用匯編語言,在運(yùn)算量小的地方使用C語言,這樣既能縮短軟件的開發(fā)周期,提高程序的可讀性和可移植性,又滿足了系統(tǒng)的實(shí)時(shí)性要求。第二章 FIR數(shù)字濾波器的簡(jiǎn)介及基本原理2.1 數(shù)字濾波器的簡(jiǎn)介隨著信息時(shí)代和數(shù)字世界的到來,數(shù)字信號(hào)處理已成為一門極其重要的學(xué)科和技術(shù)領(lǐng)域。數(shù)字信號(hào)處理在通信、語音、圖像、自動(dòng)控制、雷達(dá)、軍事、航空航天、醫(yī)療和家用電器等眾多

24、領(lǐng)域得到了廣泛的應(yīng)用。數(shù)字信號(hào)處理(DSP)包括兩重含義:數(shù)字信號(hào)處理技術(shù)(Digital Signal Processing )和數(shù)字信號(hào)處理器( Digital Signal Processor )。數(shù)字信號(hào)處理(DSP)是利用計(jì)算機(jī)或?qū)S锰幚碓O(shè)備,以數(shù)值計(jì)算的方法、對(duì)信號(hào)進(jìn)行采集、濾波、增強(qiáng)、壓縮、估值和識(shí)別等加工處理,借以達(dá)到提取信息和便于應(yīng)用的目的,其應(yīng)用范圍涉及幾乎所有的工程技術(shù)領(lǐng)域。在數(shù)字信號(hào)處理的應(yīng)用中,數(shù)字濾波器很重要而且得到了廣泛的應(yīng)用。按照數(shù)字濾波器的特性,它可以被分為線性與非線性、因果與非因果、無限長(zhǎng)沖擊響應(yīng)(IIR)與有限長(zhǎng)沖擊響應(yīng)(FIR)等等。其中,線性時(shí)不變的數(shù)

25、字濾波器是最基本的類型;而由于數(shù)字系統(tǒng)可以對(duì)延時(shí)器加以利用,因此可以引入一定程度的非因果性,獲得比傳統(tǒng)的因果濾波器更靈活強(qiáng)大的特性;IIR 濾波器的特征是具有無限持續(xù)時(shí)間沖激響應(yīng),這種濾波器一般需要用遞歸模型來實(shí)現(xiàn),因而有時(shí)也稱之為遞歸濾波器,而FIR濾波器的沖激響應(yīng)只能延續(xù)一定時(shí)間,在工程實(shí)際中可以采用遞歸的方式實(shí)現(xiàn),也可以采用非遞歸的方式實(shí)現(xiàn),但其結(jié)構(gòu)主要還是是非遞歸結(jié)構(gòu),沒有輸出到輸入的反饋,并且FIR濾波器很容易獲得嚴(yán)格的線性相位特性,避免被處理信號(hào)產(chǎn)生相位失真,而線性相位體現(xiàn)在時(shí)域中僅僅是h( n)在時(shí)間上的延遲,這個(gè)特點(diǎn)在圖像信號(hào)處理、數(shù)據(jù)傳輸?shù)炔ㄐ蝹鬟f系統(tǒng)中是非常重要的,且不會(huì)發(fā)

26、生阻塞現(xiàn)象,能避免強(qiáng)信號(hào)淹沒弱信號(hào),因此特別適合信號(hào)強(qiáng)弱相差懸殊的情況。相對(duì)于IIR濾波器,F(xiàn)IR濾波器有著易于實(shí)現(xiàn)和系統(tǒng)絕對(duì)穩(wěn)定的優(yōu)勢(shì),因此得到廣泛的應(yīng)用;對(duì)于時(shí)變系統(tǒng)濾波器的研究則導(dǎo)致了以卡爾曼濾波為代表的自適應(yīng)濾波理論的產(chǎn)生。自適應(yīng)濾波即利用前一時(shí)刻已獲得的濾波器參數(shù)等結(jié)果,自動(dòng)地調(diào)節(jié)(更新)現(xiàn)時(shí)刻的濾波器參數(shù),以適應(yīng)信號(hào)和噪聲未知的統(tǒng)計(jì)特性,或者隨時(shí)間變化的統(tǒng)計(jì)特性,從而實(shí)現(xiàn)最優(yōu)濾波。幾種主要的自適應(yīng)濾波器為:最小均方(LMS)自適應(yīng)濾波器、遞推最小二乘(RLS)自適應(yīng)濾波器、格型自適應(yīng)濾波器、無限沖擊響應(yīng)(IIR)自適應(yīng)濾波器。而自適應(yīng)去噪電路是信號(hào)處理領(lǐng)域一個(gè)簡(jiǎn)單應(yīng)用,一個(gè)被噪聲污

27、染的信號(hào)借助于相關(guān)噪聲可以把信號(hào)提取出來,而噪聲不斷變化,為了得到較清晰的語音信號(hào)必須采用自適應(yīng)去噪技術(shù),隨噪聲變化進(jìn)行自適應(yīng)濾波,濾波器自動(dòng)調(diào)整它們的系數(shù)。一個(gè)數(shù)字濾波器可以用系統(tǒng)函數(shù)表示為:由此式可得到表示輸入輸出關(guān)系的常系數(shù)線性差分方程為:可見數(shù)字濾波器的功能就是把輸入序列x(n)通過一定的運(yùn)算變換成輸出序列y(n)。不同的運(yùn)算處理方法決定了濾波器實(shí)現(xiàn)結(jié)構(gòu)的不同。數(shù)字濾波器的運(yùn)算結(jié)構(gòu)有兩種表示方法:方框圖和信號(hào)流圖法,如圖所示:最常見的3個(gè)基本運(yùn)算單元:加法器、單位延時(shí)器和常數(shù)乘法器。信號(hào)流圖 方框圖 單位延時(shí) 乘常數(shù) 相加 研究濾波器實(shí)現(xiàn)結(jié)構(gòu)的意義:(1)濾波器的基本特性,如有線長(zhǎng)沖激

28、響應(yīng)與無限長(zhǎng)沖激響應(yīng),決定了結(jié)構(gòu)上有不同的特點(diǎn);(2)不同結(jié)構(gòu)所需的存儲(chǔ)單元及乘法次數(shù)不同,前者影響復(fù)雜性,后者影響運(yùn)算速度;(3)在有限精度(有限字長(zhǎng))實(shí)現(xiàn)情況下,不同運(yùn)算結(jié)構(gòu)的誤差及穩(wěn)定性不同;(4)好的濾波器結(jié)構(gòu)應(yīng)該易于控制濾波器性能,適合于模塊化實(shí)現(xiàn),便于時(shí)分復(fù)用;2.2 FIR數(shù)字濾波器的結(jié)構(gòu)設(shè) h ( n) ( n = 0,1, 2 N - 1)為濾波器的沖激響應(yīng),輸入信號(hào)為 x ( n) ,則 FIR 濾波器就是要實(shí)現(xiàn)下列差分方程: 數(shù)字濾波器具有一下差分方程: (1)式中,為輸入序列,為輸出序列,和為濾波器系數(shù),N是濾波器階數(shù)。若所有的均為0,則有: (2)(2)式即為FIR的

29、差分方程。為了不失一般性,用下式來表示FIR的濾波器差分方程: (3)將(2)式進(jìn)行Z變換,整理后可得FIR濾波器的傳遞函數(shù): (4)FIR濾波器實(shí)質(zhì)上就是一個(gè)分節(jié)的延遲線,把每一節(jié)的輸出用濾波器系數(shù)進(jìn)行加權(quán)累加,便得到濾波器的輸出結(jié)果,它總是穩(wěn)定并且可實(shí)現(xiàn)的。在一些工程實(shí)際應(yīng)用(如:圖像處理、數(shù)據(jù)調(diào)制解調(diào))中,往往對(duì)相位要求較高。FIR濾波器可以實(shí)現(xiàn)嚴(yán)格的線性相位,從而得到了廣泛應(yīng)用。它的差分方程數(shù)學(xué)表達(dá)式為: (5)(5)式中,N是FIR濾波器的抽頭數(shù),x(n)表示在n 時(shí)刻輸入的信號(hào)樣值,h(n)表示濾波器的第n級(jí)抽頭系數(shù)。橫截型FIR濾波器的結(jié)構(gòu)如下圖所示:(FIR濾波器的結(jié)構(gòu)圖)2.

30、3 FIR數(shù)字濾波器的特性2.3.1 FIR數(shù)字濾波器的相位特性IIR數(shù)字濾波器能夠保留一些模擬濾波器的優(yōu)良特性,比如具有良好的幅頻特性,但是其相位是非線性的。FIR數(shù)字濾波器可以設(shè)計(jì)成嚴(yán)格線性相位的,避免被處理信號(hào)產(chǎn)生相位失真。FIR數(shù)字濾波器設(shè)計(jì)就是用多項(xiàng)式: (1) 來逼近所要求的頻率特性指標(biāo)。由于它的單位沖激響應(yīng)是有限長(zhǎng)的,所以FIR數(shù)字濾波器是穩(wěn)定的。由式(1)可以得到FIR數(shù)字濾波器的頻率響應(yīng): (2)其中,是幅頻特性,是相頻特性。如果要求FIR數(shù)字濾波器具有嚴(yán)格線性相位,即相位不失真時(shí),其相位和頻率呈正比,即相頻特性滿足: (3) 其中,為群延時(shí)。式(3)說明系統(tǒng)對(duì)信號(hào)中所有頻率

31、分量都具有相同的時(shí)間延遲。對(duì)上述條件降低一點(diǎn)的要求是相位和頻率呈線性關(guān)系,即 (4)雖然的存在使相位呈非線性,但是它的群延時(shí)仍保持常數(shù)。 FIR數(shù)字濾波器的沖激響應(yīng)是實(shí)數(shù),當(dāng)h(n)是偶對(duì)稱的,即 (5)其對(duì)稱中心為: (6)根據(jù)式(2),得到 (7)其中,幅頻特性為: (8)相頻特性為: (9)滿足式(3)的條件。當(dāng)h(n)為奇對(duì)稱時(shí),即 (10)其對(duì)稱中心為。同理,可得其幅度特性為: (11)相頻特性為: (12)滿足式(4)的條件。綜上所述,F(xiàn)IR數(shù)字濾波器具有線性相位的充要條件是: 或者 (13)2.3.2 線性相位FIR數(shù)字濾波器的幅度特性如果濾波器的系數(shù)h(n)的長(zhǎng)度為N,且這些系

32、數(shù)是關(guān)于對(duì)稱的,根據(jù)h(n)的奇偶對(duì)稱性和N的奇偶性,線性相位FIR數(shù)字濾波器可以分為4種類型,下面分別介紹這4種類型濾波器的頻率響應(yīng)。1)I型濾波器,系數(shù)h(n)為偶對(duì)稱,N為奇數(shù)當(dāng)系數(shù)h(n)為偶對(duì)稱,N為奇數(shù)時(shí),根據(jù)式(8),該類型濾波器的幅度特性函數(shù)為: (14) 其中, (15)濾波器的幅度響應(yīng)對(duì)呈偶對(duì)稱。2)II型濾波器,系數(shù)h(n)為偶對(duì)稱,N為偶數(shù)當(dāng)系數(shù)h(n)為偶對(duì)稱,N為偶數(shù)時(shí),根據(jù)式(8),該類型濾波器的幅度特性函數(shù)為: (16)其中, (17)濾波器的幅度響應(yīng)對(duì)呈奇對(duì)稱。但是,由于在時(shí)等于零,不能用這種方式實(shí)現(xiàn)在有頻率響應(yīng)的頻率特性,比如高通濾波器和帶阻濾波器。3)II

33、I型濾波器,系數(shù)h(n)為奇對(duì)稱,N為奇數(shù)當(dāng)系數(shù)h(n)為奇對(duì)稱,N為奇數(shù)時(shí),根據(jù)式(11),該類型濾波器的幅度特性函數(shù)為: (18)其中, (19)濾波器的幅度響應(yīng)對(duì)奇對(duì)稱。但是,由于在時(shí)等于零,不能用這種方式實(shí)現(xiàn)低通濾波器、高通濾波器和帶阻濾波器,只能用做帶通濾波器。4)IV型濾波器,系數(shù)h(n)為奇對(duì)稱,N為偶數(shù)當(dāng)系數(shù)h(n)為奇對(duì)稱,N為偶數(shù)時(shí),根據(jù)式(11),該類型濾波器的幅度特性函數(shù)為: (20)其中, (21)濾波器的幅度響應(yīng)對(duì)呈奇對(duì)稱,對(duì)呈偶對(duì)稱。但是,由于在時(shí)等于零,不能用這種方式實(shí)現(xiàn)低通濾波器和帶阻濾波器。2.3.3 線性相位FIR數(shù)字濾波器的零極點(diǎn)特性FIR數(shù)字濾波器的零

34、點(diǎn)是其系數(shù)多項(xiàng)式的根,它的極點(diǎn)與原點(diǎn)數(shù)目相同,集中在Z平面的原點(diǎn)處。由于線性相位FIR數(shù)字濾波器的單位脈沖響應(yīng)具有對(duì)稱性,即,可得 (22)由上式可以看出,如果是該濾波器的一個(gè)零點(diǎn),則也是它的零點(diǎn)。又由于h(n)是實(shí)數(shù),H(z)的零點(diǎn)必定共軛成對(duì)出現(xiàn),則和也是零點(diǎn)。所以,線性相位FIR數(shù)字濾波器的零點(diǎn)必是互為倒數(shù)的共軛對(duì)。 根據(jù)4種類型線性相位FIR數(shù)字濾波器的特點(diǎn),可以得到它們零點(diǎn)特性的主要區(qū)別是在z=1處和z=-1處的零點(diǎn)數(shù)量,即1)I型線性相位FIR數(shù)字濾波器在z=1和z=-1處有偶數(shù)個(gè)零點(diǎn)或者沒有零點(diǎn)。2)II型線性相位FIR數(shù)字濾波器在z=1處有偶數(shù)個(gè)零點(diǎn)或者沒有零點(diǎn),在z=-1處有

35、奇數(shù)個(gè)零點(diǎn)。3)III型線性相位FIR數(shù)字濾波器在z=1和z=-1處有奇數(shù)個(gè)零點(diǎn)。4)IV型線性相位FIR數(shù)字濾波器在z=1處有奇數(shù)個(gè)零點(diǎn),在z=-1處有偶數(shù)個(gè)零點(diǎn)或者沒有零點(diǎn)。例:根據(jù)給出4種類型濾波器的系數(shù),分別畫出其零極點(diǎn)圖。h1=-4,1,-1,-2,5,6,5,-2,-1,1,-4; h2=-4,1,-1,-2,5,6,6,5,-2,-1,1,-4;h3=-4,1,-1,-2,5,0,-5,2,1,-1,4; h4=-4,1,-1,-2,5,6,-6,-5,2,1,-1,4;clear all; close all; clc;h1=-4,1,-1,-2,5,6,5,-2,-1,1,-

36、4;h2=-4,1,-1,-2,5,6,6,5,-2,-1,1,-4;h3=-4,1,-1,-2,5,0,-5,2,1,-1,4;h4=-4,1,-1,-2,5,6,-6,-5,2,1,-1,4;subplot(2,2,1);zplane(h1,1);title(I型零極點(diǎn))subplot(2,2,2);zplane(h2,1);title(II型零極點(diǎn))subplot(2,2,3);zplane(h3,1);title(III型零極點(diǎn))subplot(2,2,4);zplane(h4,1);title(IV型零極點(diǎn))第三章 基于MATLAB的FIR數(shù)字濾波器設(shè)計(jì)3.1 數(shù)字濾波器的設(shè)計(jì)方法描

37、述數(shù)字濾波器有多種設(shè)計(jì)方法,如雙線性變換法、窗函數(shù)設(shè)計(jì)法、插值逼近法和Chebyshev逼近法等等,但是通常采用窗函數(shù)法設(shè)計(jì)。窗函數(shù)法設(shè)計(jì)FIR濾波器的基本思想是:根據(jù)給定的濾波器技術(shù)指標(biāo)選擇濾波器長(zhǎng)度N和窗函數(shù),使其具有最窄寬度的主瓣和最小的旁瓣,其核心是從給定的頻率特性,通過加窗確定有限長(zhǎng)單位脈沖響應(yīng)序列h(n)。一般采用以下五種窗函數(shù):矩形窗(Rectangular Window)、三角形窗(Triangular Window)、漢寧窗(Hanning Window)、哈明窗(Hamming Window)、布萊克曼窗(Blackman Window)。目前FIR濾波器的實(shí)現(xiàn)方法大致可分

38、為三種:利用單片通用數(shù)字濾波器集成電路、DSP器件和可編程邏輯器件實(shí)現(xiàn)。單片通用數(shù)字濾波器使用方便,但由于字長(zhǎng)和階數(shù)的規(guī)格較少,不能完全滿足實(shí)際需要,使用以串行運(yùn)算為主導(dǎo)的通用DSP芯實(shí)現(xiàn)要簡(jiǎn)單,是一種實(shí)時(shí)、快速、特別適合于實(shí)現(xiàn)各種數(shù)字信號(hào)處理運(yùn)算的微處理器,借助于通用數(shù)字計(jì)算機(jī)按濾波器的設(shè)計(jì)算法編出程序進(jìn)行數(shù)字濾波計(jì)算 。由于它具有豐富的硬件資源、改進(jìn)的哈佛結(jié)構(gòu)、高速數(shù)據(jù)處理能力和強(qiáng)大的指令系統(tǒng),因此在通信、航空、航天、雷達(dá)、工業(yè)控制網(wǎng)絡(luò)及家用電器等各個(gè)領(lǐng)域得到廣泛應(yīng)用。3.2 常用窗函數(shù)及設(shè)計(jì)方法1、矩形窗矩形窗的時(shí)域表達(dá)式為: (23)它的頻域表達(dá)式為: (24)矩形窗的主瓣寬度為,第一

39、旁瓣比主瓣低13dB,阻帶最小衰減為21dB。在MATLAB中,矩形窗函數(shù)為boxcar,調(diào)用格式為: w=boxcar(N)其中,N是窗函數(shù)的長(zhǎng)度;返回值w是一個(gè)長(zhǎng)度為N的矩形窗序列。2、三角窗三角窗的時(shí)域表達(dá)式為以下幾種。窗長(zhǎng)為奇數(shù)時(shí): (25)窗長(zhǎng)為偶數(shù)時(shí): (26)它的頻域表達(dá)式為: (27)三角窗的主瓣寬度為,第一旁瓣比主瓣低26dB,阻帶最小衰減為25dB。 在MATLAB中,三角窗函數(shù)為triang,調(diào)用格式為: w=triang(N)其中,N是窗函數(shù)的長(zhǎng)度;返回值w是一個(gè)長(zhǎng)度為N的三角窗序列。3、漢寧窗漢寧窗函數(shù)又稱升余弦函數(shù),是余弦平方函數(shù)。它的時(shí)域表達(dá)式為: (28)它的頻

40、域表達(dá)式為: (29)其中,為矩形窗的幅度函數(shù)。漢寧窗的主瓣寬度為,第一旁瓣比主瓣低31dB,阻帶最小衰減為44dB。在MATLAB中,漢寧窗函數(shù)為hanning,調(diào)用格式為: w=hanning(N)其中,N是窗函數(shù)的長(zhǎng)度;返回值w是一個(gè)長(zhǎng)度為N的漢寧窗序列。4、哈明窗哈明窗函數(shù)是一種改進(jìn)的升余弦函數(shù),或者稱為改進(jìn)的漢寧窗。它的時(shí)域表達(dá)式為: (30)哈明窗在保證與漢寧窗相同的主瓣寬度的條件下,使大約99.96%的能量集中在主瓣,第一旁瓣比主瓣低41dB,阻帶最小衰減為53dB。在MATLAB中,哈明窗函數(shù)為hamming,調(diào)用格式為: w=hamming(N)其中,N是窗函數(shù)的長(zhǎng)度;返回值

41、w是一個(gè)長(zhǎng)度為N的哈明窗序列。5、布萊克曼窗 布萊克曼窗函數(shù)又稱三階升余弦函數(shù),它對(duì)升余弦函數(shù)加上一個(gè)二次諧波余弦分量,從而進(jìn)一步降低旁瓣。它的時(shí)域表達(dá)式為:(31)它的頻域表達(dá)式為: (32)布萊克曼窗的主瓣寬度為,第一旁瓣比主瓣低57dB,阻帶最小衰減為74dB。在MATLAB中,布萊克曼窗函數(shù)為blackman,調(diào)用格式為: w=blackman(N)其中,N是窗函數(shù)的長(zhǎng)度;返回值w是一個(gè)長(zhǎng)度為N的布萊克曼窗序列。上述各種窗函數(shù)的性能比較如表所示:窗函數(shù)第一旁瓣相對(duì)于主瓣衰減(dB)主瓣寬度近似值 主瓣寬度精確值阻帶最小衰減(dB)矩形窗-134/N1.8/N21三角形窗-258/N6.

42、1/N25漢寧窗-318/N6.2/N44漢明窗-418/N6.6/N53布萊克曼窗-5712/N11/N746、窗函數(shù)的MATLAB實(shí)現(xiàn) 繪出長(zhǎng)度為64的矩形窗、三角窗、漢寧窗、哈明窗和布萊克曼窗函數(shù)的時(shí)域和頻域幅度特性曲線。程序代碼如下:clear all;close all;clc;N=64; w1=boxcar(N);w2=triang(N);w3=hanning(N);w4=hamming(N);w5=blackman(N);wvtool(w1);wvtool(w2);wvtool(w3);wvtool(w4);wvtool(w5);結(jié)果如下圖所示:矩形窗三角窗漢寧窗哈明窗布萊克曼窗

43、7、 MATLAB信號(hào)處理工具箱提供了基于窗函數(shù)法的FIR濾波器的設(shè)計(jì)函數(shù)fir1和fir2,它們能使濾波器的設(shè)計(jì)更加簡(jiǎn)單。1)fir1功能:基于窗函數(shù)的FIR濾波器設(shè)計(jì)標(biāo)準(zhǔn)頻率響應(yīng)形狀。格式:b=fir1(n,Wn,ftype,window)其中,b為濾波器系數(shù);n為濾波器階數(shù);Wn為截止頻率,0Wn1,1對(duì)應(yīng)于采樣頻率的一半;ftype為當(dāng)指定ftype時(shí)可設(shè)計(jì)高通和帶阻濾波器,ftype=high時(shí)設(shè)計(jì)高通FIR濾波器,ftype=stop時(shí)設(shè)計(jì)帶阻FIR濾波器,低通和帶通FIR濾波器無需輸入ftype參數(shù);window為窗函數(shù),窗函數(shù)的長(zhǎng)度應(yīng)等于FIR濾波器系數(shù)的個(gè)數(shù),即階數(shù)n+1。說

44、明:標(biāo)準(zhǔn)頻率響應(yīng)應(yīng)指所設(shè)計(jì)的濾波器的預(yù)期特性為理想頻率響應(yīng),包括低通、帶通、高通或帶阻特性。2)fir2功能:基于窗函數(shù)的FIR濾波器設(shè)計(jì)任意頻率響應(yīng)形狀。格式:b=fir2(n,f,m,window)其中,b為濾波器系數(shù);n為濾波器階數(shù);f為頻率點(diǎn)矢量,0f1,f=1時(shí)對(duì)應(yīng)的頻率為采樣頻率的一半,矢量f按升序排列,且第一個(gè)必須為0,最后一個(gè)必須為1,并允許出現(xiàn)相同的頻率值;m為幅度矢量,按長(zhǎng)期共存矢量包含與f相對(duì)應(yīng)的期望濾波器響應(yīng)幅度,矢量f與矢量m的長(zhǎng)度必須相同;window為窗函數(shù),窗函數(shù)的長(zhǎng)度應(yīng)等于FIR濾波器系數(shù)的個(gè)數(shù),即階數(shù)n+1。說明:fir2函數(shù)用于設(shè)計(jì)具有任意頻率響應(yīng)形狀的加

45、窗線性相位FIR數(shù)字濾波器,其幅頻特性由頻率點(diǎn)向量f和幅度值向量m給出,0 f 1,要求f為單增向量,而且從0開始,以1結(jié)束,1表示數(shù)字頻率w= .m與f等長(zhǎng)度,m(k)表示頻點(diǎn)f(k)的幅頻響應(yīng)曲線。3.3 基于切比雪夫等效一致逼近法工具箱采用remez算法實(shí)現(xiàn)線性相位FIR數(shù)字濾波器的等波紋最佳一致逼近法設(shè)計(jì)。與其他設(shè)計(jì)法相比,其優(yōu)點(diǎn)是,設(shè)計(jì)指標(biāo)相同時(shí),使濾波器階數(shù)最低,或者階數(shù)相同時(shí),使通帶最平坦,阻帶最小,衰減最大;通帶和阻帶均為等波紋形式,最適合設(shè)計(jì)片段常數(shù)特性的濾波器。其調(diào)用格式如下:b=remez(n,f,m,w,ftype)其中,w和ftype可默認(rèn)。b為濾波器系數(shù)向量,調(diào)用參

46、數(shù)n,f,m的含義與函數(shù)fir2中類同,但這里有一點(diǎn)不同,期望逼近的頻幅響應(yīng)值位于f(k)與f(k+1)(k為奇數(shù))之間的頻段上,而f(k+1)與f(k+2)之間為無關(guān)區(qū)。w為加權(quán)向量,其長(zhǎng)度為f的一半。w(k)為對(duì)m中第k個(gè)常數(shù)片段的逼近精度加權(quán)值,w值越大逼近精度越高。ftype用于指定濾波器類型。Remezord函數(shù)用于估算FIR數(shù)字濾波器的等波紋最佳一致逼近設(shè)計(jì)的最低階數(shù)n,從而使濾波器在滿足指標(biāo)的前提下造價(jià)最低?;菊{(diào)用格式如下:n,fo,mo,w=remezord(f,m,dev,Fs)其返回參數(shù)供remez函數(shù)使用。設(shè)計(jì)的濾波器可以滿足由參數(shù)f,m,dev和Fs指定的指標(biāo)。F和m

47、與remez中所用的類似,這里f可以是模擬頻率(Hz)或歸一化數(shù)字頻率,但必須以0開始,以Fs/2(用歸一化頻率時(shí)為1)結(jié)束,而且其中省略了0和Fs/2兩個(gè)頻點(diǎn)。Fs為采樣頻率,省略時(shí)默認(rèn)為2Hz。dev為各逼近頻段允許的幅頻響應(yīng)偏差(波紋振幅)。remez函數(shù)可直接調(diào)用remezord返回的參數(shù),使用格式如下:b=remez(n,fo,mo,w)。3.4 FIR數(shù)字濾波器的MATLAB設(shè)計(jì)初始化 MATLAB設(shè)計(jì)的程序流程圖: 產(chǎn)生需要的濾波信號(hào)畫出原始信號(hào)頻譜圖生成FIR濾波器進(jìn)行濾波畫出濾波后信號(hào)頻譜圖3.4.1 用各種窗函數(shù)設(shè)計(jì)FIR數(shù)字濾波器1)窗函數(shù)設(shè)計(jì)FIR數(shù)字濾波器的步驟 實(shí)際

48、的濾波器設(shè)計(jì)指標(biāo)通常包括通帶截止頻率、阻帶起始頻率、通帶最大衰減和阻帶最小衰減。其步驟如下:(1)構(gòu)造希望逼近的頻率響應(yīng)函數(shù)。(2)根據(jù)阻帶最小衰減選定窗函數(shù)類型,由“過渡帶寬=窗函數(shù)主瓣寬度”確定窗長(zhǎng)N。為保證所設(shè)計(jì)的FIR數(shù)字濾波器具有第一類線性相位,一般選取N為奇數(shù)。根據(jù)N寫出窗函數(shù)表達(dá)式。(3)求出理想沖激響應(yīng),理想濾波器的截止頻率。(4)求出實(shí)際濾波器的沖激響應(yīng):,其中,。2)例題: 分別用矩形窗、漢寧窗、哈明窗和布萊克曼窗設(shè)計(jì)FIR數(shù)字低通濾波器,要求:通帶截止頻率,窗長(zhǎng)N=21。程序代碼: clear all; close all; clc;wd=0.2*pi;N=21;M=(N

49、-1)/2;nn=-M:M;n=nn+eps;hd=sin(wd*n)./(pi*n); w1=boxcar(N);h1=hd.*w1; w2=hanning(N);h2=hd.*w2;w3=hamming(N);h3=hd.*w3; w4=blackman(N);h4=hd.*w4;H1=20*log10(abs(fft(h1,1024);H2=20*log10(abs(fft(h2,1024);H3=20*log10(abs(fft(h3,1024);H4=20*log10(abs(fft(h4,1024);HH1=H1(513:1024) H1(1:512);HH2=H2(513:102

50、4) H2(1:512);HH3=H3(513:1024) H3(1:512);HH4=H4(513:1024) H4(1:512);w=(-512:511)/512;plot(w,HH1,w,HH2,:,w,HH3,-,w,HH4,-);axis(-1.2 1.2 -150 20);legend(矩形窗,漢寧窗,哈明窗,布萊克曼窗);xlabel(omega/pi);set(gcf,color,w); 4種窗函數(shù)設(shè)計(jì)的低通濾波器分貝幅度特性從圖中可以看出,用矩形窗設(shè)計(jì)時(shí)得到的過渡帶最窄,但阻帶衰減最??;布萊克曼窗設(shè)計(jì)時(shí)得到的過渡帶最寬,但換來的是阻帶衰減最大。通常情況下,濾波器設(shè)計(jì)的兩個(gè)重要

51、指標(biāo)很難同時(shí)滿足,設(shè)計(jì)過程中應(yīng)該折中考慮。調(diào)用fir2函數(shù)設(shè)計(jì)一個(gè)FIR數(shù)字低通濾波器:其在0到pi/8的幅度響應(yīng)為1,在pi/8到2pi/8幅度響應(yīng)為1/2,在2pi/8到4pi/8幅度響應(yīng)為1/4,在4pi/8到6pi/8幅度響應(yīng)為1/6,在6pi/8到pi幅度響應(yīng)為1/8,并且濾波器的階數(shù)為60。畫出理想濾波器和設(shè)計(jì)得到的濾波器的幅度頻率響應(yīng)進(jìn)行比較。程序代碼如下: f=0 0.125 0.125 0.25 0.25 0.5 0.5 0.75 0.75 1;m=1 1 0.5 0.5 0.25 0.25 1/6 1/6 0.125 0.125; b=fir2(60,f,m);h,w=fr

52、eqz(b);plot(f,m,w/pi,abs(h);grid on; legend(理想濾波器,設(shè)計(jì)濾波器); 結(jié)果如下圖所示:3.4.2 用remez函數(shù)設(shè)計(jì)FIR數(shù)字低通濾波器1)設(shè)計(jì)濾波器,使逼近低通濾波特性 。要求通帶波紋 ,阻帶衰減 ,并用最小階數(shù)實(shí)現(xiàn)。繪出設(shè)計(jì)的FIR數(shù)字濾波幅頻特性曲線。程序代碼: %用remez函數(shù)設(shè)計(jì)FIR低通濾波器clear;close all; fc=1/4;fs=5/16; %輸入給定指標(biāo) Rp=3;As=60;Fs=2; f=fc,fs;m=1,0; dev=(10(Rp/20)-1)/(10(Rp/20)+1),10(-As/20);%計(jì)算rem

53、ezord函數(shù)所需要參數(shù)f,m,dev N,fo,mo,w=remezord(f,m,dev,Fs);%確定remez函數(shù)所需參數(shù)hn=remez(N,fo,mo,w);%調(diào)用remez函數(shù)進(jìn)行設(shè)計(jì)hw=fft(hn,512); %求設(shè)計(jì)出的濾波器頻率特性w=0:511*2/512;plot(w,20*log10(abs(hw);grid; %畫對(duì)數(shù)幅頻特性曲線axis(0,max(w)/2,-90,5);xlabel(w/pi); ylabel(Magnitude(dB);title(幅頻特性); line(0,0.4,-3,-3); %畫線檢驗(yàn)設(shè)計(jì)結(jié)果line(1/4,1/4,-90,5)

54、; line(5/16,5/16,-90,5);結(jié)果如圖所示:結(jié)果分析:如圖所示,圖中橫線為-3dB,兩條豎線分別位于頻率 1/4和5 /16。顯然,通帶指標(biāo)稍有富裕,過渡帶寬度和阻帶最小衰減剛好滿足指標(biāo)要求。2)用remez函數(shù)設(shè)計(jì)FIR數(shù)字濾波器(在濾波器設(shè)計(jì)中,技術(shù)指標(biāo)越高,實(shí)現(xiàn)濾波器的階數(shù)也就越高,在remez函數(shù)調(diào)用格式b=remez(N,f,m,w)。)程序代碼如下:%用remez函數(shù)設(shè)計(jì)數(shù)字低通濾波器Fs=1000;num=8192;t=(1:10000)/Fs;s1=sin(2*pi*t*100);s2=sin(2*pi*t*150);s3=sin(2*pi*t*200);s=

55、s1+s2+s3;figure(1);plot(t,s);axis(0,0.1,-3,3);grid;xlabel(time/s);ylabel(amplitude);%設(shè)計(jì)一個(gè)低通濾波器 fedge=110 140;mval=1 0;dev=0.0559 0.01;N,fpts,mag,wt=remezord(fedge,mval,dev,Fs);b=remez(N,fpts,mag,wt);disp(b);h,w=freqz(b,1,256);figure(2);plot(w/pi,20*log10(abs(h);grid;xlabel(omega/pi);ylabel(Gain(dB);

56、%對(duì)信號(hào)進(jìn)行濾波sf=filter(b,1,s);figure(3);plot(t,sf);axis(0,0.1,-3,3);grid;xlabel(time/s);ylabel(amplitude);%顯示頻譜結(jié)果figure(4);f=Fs*(0:num/2-1)/num;Y=fft(sf,num);P=abs(Y);plot(f,P(1:num/2);axis(1,500,0,1000);grid;xlabel(Frequency/Hz);ylabel(Amplitude);結(jié)果如圖所示:3.4.3 應(yīng)用FDATOOl設(shè)計(jì)FIR濾波器FDATool(Filter Design Analy

57、sis Tool)是MATLAB信號(hào)處理工具箱里專用的濾波器設(shè)計(jì)分析工具,MATLAB6.0以上的版本還專門增加了濾波器設(shè)計(jì)工具箱(Filter Design Toolbox)。FDATool可以設(shè)計(jì)幾乎所有的基本的常規(guī)濾波器,包括FIR和IIR的各種設(shè)計(jì)方法。它操作簡(jiǎn)單,方便靈活。 FDATool界面總共分兩大部分,一部分是design filter,在界面的下半部,用來設(shè)置濾波器的設(shè)計(jì)參數(shù),另一部分則是特性區(qū),在界面的上半部分,用來顯示濾波器的各種特性。design filter部分主要分為:filter type(濾波器類型)選項(xiàng),包括lowpass(低通)、highpass(高通)、b

58、andpass(帶通)、bandstop(帶阻)和非凡的fir濾波器。 design method(設(shè)計(jì)方法)選項(xiàng),包括IIR濾波器的butterworth(巴特沃思)法、chebyshev type(切比雪夫型)法、chebyshev type(切比雪夫型)法、elliptic(橢圓濾波器)法和fir濾波器的equiripple法、least-squares(最小乘方)法、window(窗函數(shù))法。 filter order(濾波器階數(shù))選項(xiàng),定義濾波器的階數(shù),包括specify order(指定階數(shù))和minimum order(最小階數(shù))。在specify order中填入所要設(shè)計(jì)的濾波

59、器的階數(shù)(n階濾波器,specify ordern-1),假如選擇minimum order則matlab根據(jù)所選擇的濾波器類型自動(dòng)使用最小階數(shù)。 frequency specifications選項(xiàng),可以具體定義頻帶的各參數(shù),包括采樣頻率fs和頻帶的截止頻率。它的具體選項(xiàng)由filter type選項(xiàng)和design method選項(xiàng)決定,例如bandpass(帶通)濾波器需要定義fstop1(下阻帶截止頻率)、fpass1(通帶下限截止頻率)、fpass2(通帶上限截止頻率)、fstop2(上阻帶截止頻率),而lowpass(低通)濾波器只需要定義fstop1、fpass1。采用窗函數(shù)設(shè)計(jì)濾波器時(shí),由于過渡帶是由窗函數(shù)的類型和階數(shù)所決定的,所以只需要定義通帶截止頻率,而不必定義阻帶參數(shù)。 magnitude specifications選項(xiàng),可以定義幅值衰減的情況。例如設(shè)計(jì)帶通濾波器時(shí),可以定義wstop1(頻率fstop1處的幅值衰減)、wpass(通帶范圍內(nèi)的幅值衰減)、wstop2(頻率fstop2處的幅值衰減)。當(dāng)采用窗函數(shù)設(shè)計(jì)時(shí),通帶

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!