九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

基于dsp的直流電動機調(diào)速系統(tǒng)設(shè)計與實現(xiàn).doc

上傳人:good****022 文檔編號:116596176 上傳時間:2022-07-06 格式:DOC 頁數(shù):165 大?。?.57MB
收藏 版權(quán)申訴 舉報 下載
基于dsp的直流電動機調(diào)速系統(tǒng)設(shè)計與實現(xiàn).doc_第1頁
第1頁 / 共165頁
基于dsp的直流電動機調(diào)速系統(tǒng)設(shè)計與實現(xiàn).doc_第2頁
第2頁 / 共165頁
基于dsp的直流電動機調(diào)速系統(tǒng)設(shè)計與實現(xiàn).doc_第3頁
第3頁 / 共165頁

下載文檔到電腦,查找使用更方便

12 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《基于dsp的直流電動機調(diào)速系統(tǒng)設(shè)計與實現(xiàn).doc》由會員分享,可在線閱讀,更多相關(guān)《基于dsp的直流電動機調(diào)速系統(tǒng)設(shè)計與實現(xiàn).doc(165頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、唐 山 學 院 畢畢 業(yè)業(yè) 設(shè)設(shè) 計計 設(shè)計題目:設(shè)計題目:基于 DSP 的直流電動機調(diào)速系統(tǒng)設(shè)計與實現(xiàn) 系系 別:別: 信息工程系 班班 級:級: 06 電氣工程及其自動化(1)班 姓姓 名:名: 蘭恒波 指指 導(dǎo)導(dǎo) 教教 師:師: 呂宏麗 2 0 1 0 年6 月10 日 基于DSP的直流電動機調(diào)速系統(tǒng) 設(shè)計與實現(xiàn) 摘 要 隨著現(xiàn)代工業(yè)的不斷發(fā)展,直流調(diào)速系統(tǒng)在許多領(lǐng)域獲得了廣泛的應(yīng)用。由 于數(shù)字控制具有較好的控制性能和較強的抗干擾性,所以成為直流電機的主流控 制方式。為了進一步提高直流電機控制的現(xiàn)代化程度,電機的控制方法也由原來 的單機獨立模擬式控制轉(zhuǎn)變?yōu)榧惺綌?shù)字式控制。 本文進行了基

2、于DSP的直流電機調(diào)速系統(tǒng)控制器設(shè)計的研究與實現(xiàn)。針對目前 現(xiàn)有的條件和要求,建立了以DSP控制器為核心的直流電機調(diào)速系統(tǒng),給出了系統(tǒng) 的整體方案;系統(tǒng)根據(jù)調(diào)速原理,采用TI公司的TMS320LF2407A DSP芯片為控制 芯片,利用H型電路進行對直流電機的調(diào)速控制,通過光電傳感器對直流電動機的 轉(zhuǎn)速進行測定。 硬件方面,在分析了系統(tǒng)控制對象的基礎(chǔ)上,以TMS320LF2407A為控制核心, 設(shè)計了整個系統(tǒng)的硬件平臺。主要包括電源電路的設(shè)計、直流電動機驅(qū)動電路的 設(shè)計、直流電動機測速電路的設(shè)計、DSP最小系統(tǒng)、鍵盤控制電路以及LCD顯示電 路的設(shè)計。 軟件方面,在DSP的集成開發(fā)環(huán)境CCS下

3、,利用C語言,進行了軟件的設(shè)計與 調(diào)試,實現(xiàn)了硬件的配置和整個控制器平臺的所有功能,能用按鍵控制直流電動 機的啟動、停止、加速、減速、正轉(zhuǎn)、反轉(zhuǎn)功能,并將工作狀態(tài)通過LCD顯示出 來,通過DSP定時器的配合使用,實現(xiàn)了軟件脈沖觸發(fā)功能,從而實現(xiàn)了直流電動 機的測速功能。 通過對研制的DSP調(diào)速控制器的實驗以及測試,該控制平臺運行穩(wěn)定,設(shè)計思 路正確,實現(xiàn)了預(yù)期的功能,能夠?qū)崿F(xiàn)測速調(diào)速功能和顯示功能,有著一定的實 用價值。 關(guān)鍵詞關(guān)鍵詞:直流電動機 DSP 調(diào)速 The Design and Realization of Speed Regulation System for DC Motor

4、Based on DSP Abstract Direct-current speed regulation system has gained broad application in a lot of fields with rapidly development of modem industrializationThe Digital Control will become the mainstream of DC timing system by good performances and stable ability to resist the disturbanceIn order

5、 to improve modernization,the control methods of DC timing system have changed from single control to network control This paper studied and realization the design of controller in speed regulation system based on DSP, after aimed at the status of laboratory at present. To build a Direct- current sp

6、eed regulation system using by DSP as core controller and made overall scheme. Using the TMS320LF2407A DSP of TI company, according to speed regulation principle. To controlled the timing of DC Motor using the H model circuit, and measured the rotary speed through photoelectric sensor for DC Motor.

7、In hardware, the whole platform is designed by TMS320LF2407A as core controller, based on analyzing the controlled object. It is include of the electrical source circuit, the driving circuit for DC Motor, the circuit measurable revs for DC Motor, the DSP smallest system module, the circuit of contro

8、l by keypad and the showing circuit by LED. The software achieves all the functions of system and accomplish with hardware configure, which is debugged in the CCS IDE and using C languageThe system make the function of start, stop, forward, backward, acceleration, deceleration through keypad, and sh

9、ow the state by LED. Measuring revs for DC Motor and realization the function pulse spring by software cooperate in DSP timer. The running stability of system, the correctness of designing and all the intending functions were demonstrated by experiment and testing for the device of DSP controllerIt

10、could control the speed of DC motor and monitoring controller by LED. This platform has a good promotion value. Keywords:DC Motor;DSP;Speed Regulation 目 錄 1 引言.1 1.1 課題研究綜述.1 1.1.1 國內(nèi)外研究動態(tài)1 1.1.2 發(fā)展趨勢2 1.2 課題完成的內(nèi)容及選題意義.3 1.2.1 完成內(nèi)容3 1.2.2 選題意義3 1.3 課題研究的主要內(nèi)容及章節(jié)安排.4 2 直流調(diào)速原理.5 2.1 調(diào)速方法.5 2.1.1 直流電動機調(diào)

11、速方法5 2.1.2 PWM 調(diào)速控制原理 6 2.2 測速方法.11 2.3 本章小結(jié).12 3 DSP 控制器介紹13 3.1 DSP 芯片發(fā)展及結(jié)構(gòu) .13 3.1.1 DSP 芯片發(fā)展.13 3.1.2 DSP 芯片結(jié)構(gòu)原理.13 3.2 控制器 TMS320LF2407A 介紹.15 3.2.1 控制器 TMS320LF2407A 特點15 3.2.2 設(shè)計用到的模塊16 3.3 DSP 開發(fā) .18 3.3.1 DSP 開發(fā)所需硬件資源.18 3.3.2 DSP 軟件開發(fā).18 3.4 本章小結(jié).19 4 硬件設(shè)計.20 4.1 系統(tǒng)總體方案.20 4.2 DSP 供電電源設(shè)計 .

12、21 4.3 JTAG 接口電路設(shè)計.21 4.4 鍵盤控制電路設(shè)計.23 4.5 LCD 液晶顯示控制電路設(shè)計.23 4.6 直流電動機 PWM 調(diào)速測速電路設(shè)計.25 4.6.1 直流電動機 PWM 調(diào)速電路設(shè)計 25 4.6.2 轉(zhuǎn)速采集電路設(shè)計26 4.7 本章小結(jié).27 5 軟件設(shè)計.28 5.1 CCS 簡介.28 5.1.1 安裝過程28 5.1.2 CCS 軟件簡介 29 5.2 程序設(shè)計.31 5.2.1 主程序流程圖31 5.2.2 主要模塊程序流程圖32 5.3 本章小結(jié).36 6 結(jié)論.38 6.1 調(diào)試結(jié)果.38 6.2 總結(jié).39 謝辭.40 參考文獻.41 附錄.

13、42 1 開發(fā)板外形圖42 2 設(shè)計的總電路圖43 3 設(shè)計的總程序44 外文資料.60 1 1 引言 1.1 課題研究綜述 一個多世紀以來,電動機作為最主要的機電能量轉(zhuǎn)換裝置,其應(yīng)用范圍已遍 及國民經(jīng)濟的各個領(lǐng)域和人們的日常生活。過去電動機的調(diào)速控制不太靈敏,隨 著計算機、微電子技術(shù)的發(fā)展以及新型電力電子功率器件的不斷涌現(xiàn),電動機的 控制策略發(fā)生了深刻的變化。傳統(tǒng)的模擬控制方法正逐漸被以微控制器為核心的 數(shù)字控制所取代1。 1.1.1 國內(nèi)外研究動態(tài) 早期直流傳動的控制器由模擬分離器件構(gòu)成,由于模擬器件有其固有的缺點, 如存在溫漂、零漂電壓,構(gòu)成系統(tǒng)的器件較多,使得模擬直流傳動系統(tǒng)的控制精

14、度及可靠性較低。20世紀70年代以來,利用單片機作為控制器開始在電機控制系 統(tǒng)中被廣泛使用,如Intel8031/8051、AT89C51、AT89C522等。在單片機控制系統(tǒng) 中,單片機作為系統(tǒng)控制的核心,主要用來完成一些算法,同時還要處理一些輸 入,輸出、顯示任務(wù)等,單片機的使用使電動機控制系統(tǒng)的性能得到了很大提高。 然而,受單片機本身結(jié)構(gòu)的限制,以之為核心的單片機控制系統(tǒng)仍需要較多的元 器件,于是許多工程師認識到了單片機和電動機專用芯片各自的優(yōu)勢和缺點,設(shè) 計了以單片機和專用芯片為核心的電動機控制系統(tǒng)。在這些系統(tǒng)中,利用單片機 的可編程特點,主要用來執(zhí)行一些檢測、控制算法。并輸出相應(yīng)的控

15、制信號給專 用芯片;利用專用芯片內(nèi)部具有的電動機專用硬件控制機制,用來快速產(chǎn)生用于 電動機功率電子電路的控制信號。這些系統(tǒng)將單片機和專用芯片優(yōu)勢互補,使得 系統(tǒng)即可以滿足實時性的要求,又具有用戶可編程的靈活性。從而迅速成為主流 的電動機控制系統(tǒng)設(shè)計方法。但是,這些系統(tǒng)仍存在單片機系統(tǒng)固有的缺點,即 系統(tǒng)組成元件多、處理能力有限等,從而使最終系統(tǒng)的可靠性較低、成本提高, 也難適應(yīng)要求較高的場合。 為了使電機控制系統(tǒng)即能適應(yīng)與一般的應(yīng)用場合,又能滿足一些高精度、高 性能的控制要求。許多公司推出了面向運動控制系統(tǒng)、電動機控制的控制器。例 如:TI公司的TMS320 x24xx系列DSP控制器,它把一

16、個16位定點DSP核和用于控制 的外設(shè)、大容量的片上存儲器集成在單一芯片上,為電動機控制系統(tǒng)注入了新活 力。此系列DSP控制器的內(nèi)部DSP核具有用于控制的片上外設(shè),使得它們從硬件機 制上可以較好地滿足任意電動機控制系統(tǒng)的要求。 可以看到,基于DSP控制器構(gòu)成的電動機控制系統(tǒng)具有傳統(tǒng)單片機控制系統(tǒng)和 專用芯片電動機控制系統(tǒng)的優(yōu)勢,即專用的電動機控制機制、用戶可編程、控制 2 能力強、功能強大;同時又克服了它們各自的缺點,如外設(shè)和存儲器集成在芯片 內(nèi),可節(jié)省印制電路板面積、減少系統(tǒng)中元件的個數(shù)、提高了CPU的處理能力、 提高了系統(tǒng)的可靠性等。另外隨著電子制造技術(shù)的不斷提高,DSP控制器芯片的成 本

17、不斷下降,到目前為止,單片x24xx系列DSP控制器的價格與普通單片機的價格 已不相上下。這些都使得最終DSP控制系統(tǒng)的成本得到了降低。另外隨著系統(tǒng)規(guī)模 的擴大和復(fù)雜性的提高,單機的控制系統(tǒng)越來越少,取而代之的是大規(guī)模的多機 協(xié)同工作的高度自動化的復(fù)雜系統(tǒng)。利用以DSP為控制核心的控制系統(tǒng)可以很好的 完成此任務(wù),另外借助于數(shù)字和網(wǎng)絡(luò)技術(shù),智能控制已經(jīng)深入到控制系統(tǒng)的各個 方面,例如:模糊控制,神經(jīng)網(wǎng)絡(luò)控制,解耦控制等,各種觀測器和辨識技術(shù)應(yīng) 用于控制系統(tǒng)中,大大地改善了控制系統(tǒng)的性能,為自動控制系統(tǒng)走向復(fù)雜的多 層的網(wǎng)絡(luò)控制提供了可能,隨著電力電子技術(shù),微電子技術(shù),以及現(xiàn)代控制理論 的發(fā)展,控

18、制系統(tǒng)將朝著更高的水平邁進3。 1.1.2 發(fā)展趨勢 電動機的數(shù)字控制技術(shù)的發(fā)展得力于微電子技術(shù)、電力電子技術(shù)、傳感器技 術(shù)、自動控制技術(shù);特別是微控制器技術(shù),現(xiàn)代控制技術(shù)是以微控制器為核心的 技術(shù),由此構(gòu)成的控制系統(tǒng)成為當今工業(yè)控制的主流系統(tǒng)。這種系統(tǒng)已取代常規(guī) 的模擬檢測、調(diào)節(jié)、顯示、記錄等儀器設(shè)備和很大部分操作的人工職能,使受控 對象的動態(tài)過程按規(guī)定方式和技術(shù)運行,以完成各種控制、操作管理等任務(wù)4。近 幾年來,嵌入式系統(tǒng)在通信、工業(yè)、儀器等領(lǐng)域的廣泛應(yīng)用,現(xiàn)代控制技術(shù)已深 入各行業(yè)的諸多領(lǐng)域。進入90年代以來,由于計算機技術(shù)的飛速發(fā)展,推動數(shù)控 技術(shù)更快的更新?lián)Q代。世界上許多數(shù)控系統(tǒng)生產(chǎn)

19、廠家利用PC機豐富的軟硬件資源 開發(fā)開放式體系結(jié)構(gòu)的新一代數(shù)控系統(tǒng)。開放式體系結(jié)構(gòu)使數(shù)控系統(tǒng)有更好的通 用性、柔性、適應(yīng)性、擴展性,并向智能化、網(wǎng)絡(luò)化方向大大發(fā)展。 正是這些技術(shù)的進步使電動機控制技術(shù)在近20年內(nèi)發(fā)生了很大的變化。其中, 電動機控制策略的模擬實現(xiàn)正逐漸退出歷史舞臺,而采用微處理器、 FPGA/CPLD、通用計算機、DSP控制器等現(xiàn)代手段構(gòu)成的數(shù)字控制系統(tǒng)得到了迅 速發(fā)展。應(yīng)用先進控制算法,開發(fā)全數(shù)字化的智能控制運動控制系統(tǒng)將成為新一 代控制系統(tǒng)設(shè)計方向。 電動機的數(shù)字控制系統(tǒng)的發(fā)展趨勢可以表現(xiàn)在以下幾個方面。 (1)性能上高速、高精、高效化。速度、精度和效率是工業(yè)制造技術(shù)的關(guān)鍵

20、性 能指標。由于采用了高速CPU芯片、RISC芯片、多CPU控制系統(tǒng)以及帶高分辨率 絕對式檢測元件的數(shù)字伺服系統(tǒng),同時采取了改善電機動態(tài)、靜態(tài)特性等有效措 施,電機的高速高精高效化已大大提高。 3 (2)控制實時智能化。早期的實時系統(tǒng)通常針對相對簡單的理想環(huán)境,其作用 是如何調(diào)度任務(wù),以確保任務(wù)在規(guī)定期限內(nèi)完成。而人工智能則試圖用計算模型 實現(xiàn)人類的各種智能行為。科學技術(shù)發(fā)展到今天,實時系統(tǒng)和人工智能相互結(jié)合, 人工智能正向著具有實時響應(yīng)的、更現(xiàn)實的領(lǐng)域發(fā)展,而實時系統(tǒng)也朝著具有智 能行為的、更加復(fù)雜的應(yīng)用發(fā)展,由此產(chǎn)生了實時智能控制這一新的領(lǐng)域。 (3)系統(tǒng)集成化、模塊化、網(wǎng)絡(luò)化。采用高度集

21、成化CPU、RISC芯片和大規(guī)模 可編程集成電路FPGA、CPLD以及專用集成電路ASIC芯片,可提高數(shù)控系統(tǒng)的集 成度和軟硬件運行速度;硬件模塊化易于實現(xiàn)數(shù)控系統(tǒng)的集成化和標準化;電機 聯(lián)網(wǎng)可進行遠程控制和無人化操作;利用計算機組成總線式、模塊化、開放式、 嵌入式結(jié)構(gòu)。 1.2 課題完成的內(nèi)容及選題意義 1.2.1 完成內(nèi)容 本課題主要完成以下五方面工作。 1.硬件設(shè)計,設(shè)計硬件電路,實現(xiàn)鍵盤輸入命令控制,使直流電動機停止和以 不同速度前進或后退,并將實時速度和正反狀態(tài)顯示在 LCD 上; 2.軟件設(shè)計,編寫程序,實現(xiàn)硬件功能; 3.繪制電路原理圖; 4.制作樣機; 5.系統(tǒng)各功能模塊設(shè)計結(jié)

22、束以后,將系統(tǒng)進行調(diào)試,實現(xiàn)不了功能的地方進行 修改以便完成系統(tǒng)的綜合效果。 1.2.2 選題意義 一個多世紀以來,電動機作為機電能量轉(zhuǎn)換裝置,一直在現(xiàn)代化生產(chǎn)和生活 中發(fā)揮著十分重要的作用。無論是工農(nóng)業(yè)生產(chǎn)、交通運輸、國防、航空航天、醫(yī) 療衛(wèi)生、商務(wù)與辦公設(shè)備,還是日常生活中的家用電器,都大量地使用著各種各 樣的電機。據(jù)資料統(tǒng)計,現(xiàn)在有 90%以上的動力源來自于電動機,我國生產(chǎn)的電 能大約有 60%用于電動機,電動機與人們的生活息息相關(guān),密不可分5。 電動機主要類型有同步電動機、異步電動機與直流電動機三種。其中,直流 電動機在八十年代以前一直處于調(diào)速傳動領(lǐng)域的主導(dǎo)地位,這主要源于其完美的 轉(zhuǎn)

23、矩控制特性,即通過調(diào)節(jié)電樞電壓和勵磁電流就可以任意調(diào)節(jié)其轉(zhuǎn)速和轉(zhuǎn)矩。 本畢業(yè)設(shè)計制作了一個小型的直流電動機調(diào)速裝置,雖然實際應(yīng)用中較難用 到這么小的直流電動機調(diào)速裝置,但萬變不離其宗,實際應(yīng)用中的直流電動機調(diào) 速裝置大致原理與本設(shè)計相差無幾,因此,本設(shè)計有著很強的理論和指導(dǎo)意義。 4 設(shè)計的主線是“控制”2 字,與本人所學專業(yè)密不可分,通過完成此次畢業(yè)設(shè)計 的工作,能夠加深對本專業(yè)精髓的理解,同樣能夠增強自學能力、實踐能力和鉆 研精神,而且設(shè)計過程中理論充分地聯(lián)系了實際,對以后工作也有很大的幫助。 本畢業(yè)設(shè)計基于 TI 公司的 TMS320LF2407 控制器,對直流電動機進行調(diào)速測 速的控制

24、系統(tǒng),進行了設(shè)計研究。 1.3 課題研究的主要內(nèi)容及章節(jié)安排 在研究了全數(shù)字直流電動機控制系統(tǒng)基礎(chǔ)上,提出一種以 DSP 為控制器的直 流電動機智能控制系統(tǒng)。系統(tǒng)選用 TI 公司的 TMS320LF2407 高性能數(shù)字處理器, 設(shè)計出基于 DSP 的數(shù)字直流電機控制系統(tǒng)。論文共分為七大章節(jié),內(nèi)容如下綜述。 第l章為引言,介紹數(shù)字控制的特點,本論文研究意義及工作內(nèi)容; 第2章為直流調(diào)速原理分析,針對本文用到的調(diào)壓調(diào)速原理以及特點進行說明; 第3章為介紹DSP控制器發(fā)展以及其芯片結(jié)構(gòu)原理,著重說明了本文所用到的 TI公司的DSP(TMS320LF2407A)芯片的主要特征; 第4章為系統(tǒng)硬件結(jié)構(gòu)整

25、體設(shè)計方案,給出了系統(tǒng)整體設(shè)計框圖,在此基礎(chǔ)上 介紹了本系統(tǒng)實現(xiàn)的主要功能;設(shè)計了以TMS320LF2407A為核心的硬件系統(tǒng),包 括電源電路的設(shè)計、脈沖觸發(fā)驅(qū)動電路的設(shè)計、電機信號采集電路的設(shè)計、DSP最 小系統(tǒng)、DSP驅(qū)動獨立按鍵鍵盤控制電路以及DSP驅(qū)動12864F顯示電路的設(shè)計; 第5章為系統(tǒng)軟件的設(shè)計,主要根據(jù)硬件設(shè)計所要實現(xiàn)的功能進行了系統(tǒng)整體 設(shè)計,依據(jù)軟件的整體功能設(shè)計了各個功能模塊程序; 第6章為結(jié)論,在完成系統(tǒng)軟硬件的基礎(chǔ)上,對整個系統(tǒng)進行聯(lián)機調(diào)試,并進 行了分析,最后總結(jié)全文并對此系統(tǒng)設(shè)計進行展望。 5 2 直流調(diào)速原理 在現(xiàn)代化工業(yè)生產(chǎn)中,生產(chǎn)機械都不停地運轉(zhuǎn)著,幾乎無

26、處不使用電力傳動 裝置。由于各種不同的生產(chǎn)機械運動規(guī)律不一樣,對傳動裝置性能的要求也不一 樣。為了提高產(chǎn)品質(zhì)量,增加產(chǎn)量,提高生產(chǎn)效率,越來越多的生產(chǎn)機械要求能 實現(xiàn)轉(zhuǎn)速調(diào)節(jié)與相應(yīng)的自動化控制,并且對電力傳動裝置的拖動性能要求也越來 越高。本章分析了直流調(diào)速系統(tǒng)原理,通過分析可以明確硬件總體設(shè)計以及軟件 實現(xiàn)的功能。 2.1 調(diào)速方法 2.1.1 直流電動機調(diào)速方法 由電機學基本理論可知,直流電動機轉(zhuǎn)速特性方程式如下6 7。 (2-1)( / min) e UIR nr C 式2-1中,各變量如下。 電樞端電壓(V);U 電樞電流(A);I 電樞電路總電阻();R 每極磁通量(Wb); 與電機

27、有關(guān)的常數(shù)。 e C 從上式可以看出,直流電機轉(zhuǎn)速n的控制方法有三種。 (1)改變電樞電路電阻值R,在電動機電樞外串電阻進行調(diào)速。這種方法只能進 行有級調(diào)速,平滑性差、機械特性軟、效率低; (2)改變電機主磁通。這種方法只能減弱磁通,使電動機從額定轉(zhuǎn)速向上變 速,屬恒功率調(diào)速方法,動態(tài)響應(yīng)較饅,雖能無級平滑調(diào)速,但調(diào)速范圍小; (3)調(diào)節(jié)電樞電壓U,從而改變轉(zhuǎn)速。屬恒轉(zhuǎn)矩調(diào)速方法,動態(tài)響應(yīng)快,適用于 要求大范圍無級平滑調(diào)速的系統(tǒng)。 在這幾種方法中,改變電阻只能有級調(diào)速,而且缺點很多,目前很少采用; 減弱磁通雖然能夠平滑調(diào)速,但調(diào)速范圍不大,往往只是配合調(diào)壓方案,在基速 (額定轉(zhuǎn)速)以上作小范圍

28、的弱磁升速。因此,自動控制的直流調(diào)速系統(tǒng)往往以變壓 調(diào)速為主。改變電樞電壓主要有三種方式:旋轉(zhuǎn)變流機組、靜止變流裝置(晶閘管 6 變流裝置)、PWM(脈寬調(diào)制)變換器(或稱直流斬波器)。 (1)旋轉(zhuǎn)變流機組用交流電動機和直流發(fā)電機組成機組以獲得可調(diào)直流電壓, 簡稱G.M系統(tǒng)。G.M系統(tǒng)具有很好的調(diào)速性能,但系統(tǒng)復(fù)雜、體積大、效率低、運 行有噪音、維護不方便。 (2)采用晶閘管變流裝置供電的直流調(diào)速系統(tǒng)簡稱V-M系統(tǒng),通過改變晶閘管 觸發(fā)控制角a,進而改變整流電壓Ud的大小,達到調(diào)節(jié)直流電動機轉(zhuǎn)速的目的。V- M系統(tǒng)在調(diào)速性能、可靠性、經(jīng)濟性上具有一定的優(yōu)越性,但操作不是太方便,所 以現(xiàn)在使用更

29、多的是下面介紹的PWM(脈寬調(diào)制)。 (3)PWM(脈寬調(diào)制)變換器又稱直流斬波器,是利用功率開關(guān)器件通斷實現(xiàn)控 制,調(diào)節(jié)通斷時間比例,將固定的直流電源電壓變成平均值可調(diào)的直流電壓,亦 稱DC-DC變換器。PWM(脈寬調(diào)制)變換器不僅在調(diào)速性能、可靠性、經(jīng)濟性上都 具有優(yōu)越性,而且控制起來非常方便,實用性很強,因此成為直流調(diào)速系統(tǒng)的主 要形式。 本次設(shè)計主要就是通過DSP芯片TMS320LF2407A中的PWM口,對其編寫程序 產(chǎn)生PWM波來輸出控制電機的平均電壓來對電機進行調(diào)速,需要對直流電動機搭 建H型電橋驅(qū)動電路才能實現(xiàn)PWM調(diào)速,下面將詳細介紹直流電動機PWM調(diào)速原 理。 2.1.2

30、PWM調(diào)速控制原理 PWM(脈寬調(diào)制)即為開關(guān)驅(qū)動方式,開關(guān)驅(qū)動方式是使半導(dǎo)體功率器件工作 在開關(guān)狀態(tài),通過脈寬調(diào)制(PWM)來控制電動機的電樞電壓,實現(xiàn)調(diào)速8。 圖2.1是利用開關(guān)管對直流電動機進行PWM調(diào)速控制的原理圖和輸入輸出電壓 波形。在圖2-1(a)中,當開關(guān)管MOSFET的柵極輸入高電平時,開關(guān)管導(dǎo)通,直流 電動機電樞繞組兩端有電壓。時間后,柵極輸入變?yōu)榈碗娖?,開關(guān)管截止,電 1 t 動機電樞兩端電壓為零。時間后,柵極輸入重新變?yōu)楦唠娖?,開關(guān)管的動作重 2 t 復(fù)前面的過程。這樣,對應(yīng)著輸入的電平高低,直流電動機電樞繞組兩端的電壓 波形如圖2-1(b)所示。電動機的電樞繞組兩端的電

31、壓平均值如下。 a U (2-2) 11 12 0 s ass t Ut UUaU ttT (2-3) 1 t a T 公式中,為占空比。a 7 i U s U 直流電動機 V1 D1 (a)原理圖 i U 0 0 s U o U t t T t1t2 (b)輸入/輸出電壓波形 圖2-1 PWM調(diào)速控制原理和電壓波形圖 8 占空比表示了在同一個周期T里,開關(guān)導(dǎo)通的時間長短與周期的比值。的aa 變化范圍為。由公式(2-2)可知,當電源電壓不變的情況下,電樞的端01a s U 電壓的平均值取決于占空比的大小,改變值就可以改變電壓的平均值,從 a Uaa 而達到調(diào)速的目的,這就是PWM調(diào)速原理。 在

32、PWM調(diào)速時,占空比是一個重要參數(shù)。以下3種方法都可以改變占空比的a 值。 (1)定寬調(diào)頻法。 這種方法是保持不變,只改變,這樣使周期T(或頻率f) 1 t 2 t 也隨之改變。 (2)調(diào)寬調(diào)頻法。 這種方法是保持不變,只改變,這樣使周期T(或頻率f) 2 t 1 t 也隨之改變。 (3)定頻調(diào)寬法。 這種方法是使周期T(或頻率f)保持不變,而同時改變和。 1 t 2 t 前兩種方法由于在調(diào)速時改變了控制脈沖的周期T(或頻率f),當控制脈沖的頻 率與系統(tǒng)的固有頻率接近時,將會引起振蕩,因此這兩種方法用的很少。目前, 在直流電動機的控制中,主要使用定頻調(diào)寬法。 TMS320LF240 x系列電動

33、機專用DSP集成了PWM控制信號發(fā)生器,它可以通 過調(diào)整事件管理器的定時器控制寄存器來設(shè)定PWM工作方式和頻率;通過調(diào)整比 較值來調(diào)整PWM的占空比;通過調(diào)整死區(qū)控制寄存器來設(shè)定死區(qū)時間;通過專用 的PWM輸出口輸出占空比可調(diào)的帶有死區(qū)的PWM控制信號,從而省去了其他控制 器所用的外圍PWM波發(fā)生電路和時間延遲(死區(qū))電路。 電動機專用DSP的高速運算功能可以實現(xiàn)直流電動機的實時控制,通過軟件實 現(xiàn)名副其實的全數(shù)字控制,從而省去了外圍的PID調(diào)節(jié)電路和比較電路。因此,使 用DSP控制直流電動機可以獲得高性能和低成本。 直流電動機通常要求工作在正反轉(zhuǎn)的場合,這時需要使用可逆PWM系統(tǒng)???逆PW

34、M系統(tǒng)分為單極性驅(qū)動和雙極性驅(qū)動。單極性驅(qū)動是指在一個PWM周期里, 電動機電樞的電壓極性呈單一性(或者正、或者負)變化。雙極性驅(qū)動是指在一個 PWM周期里,電動機電樞極性呈正負變化。 我們常說的“H”型電路是直流電動機PWM系統(tǒng)中的一種,上面已經(jīng)介紹了直 流電動機PWM系統(tǒng)分為單極性驅(qū)動和雙極性驅(qū)動,不論是單極性驅(qū)動還是雙極性 驅(qū)動,都有“T”型和“H”型2種。 T型電路由兩個開關(guān)管組成,采用正負電源,相當于兩個不可逆系統(tǒng)的組合, 由于形狀像橫放著的“T”字,所以稱為T型。 單極性驅(qū)動電路中的T型單極性驅(qū)動由于電流不能反向,并且兩個開關(guān)管切換 (正反轉(zhuǎn)切換)的工作條件是電樞電流等于零,因此動

35、態(tài)性能較差,很少采用。雙極 9 性驅(qū)動電路中的T型電路雙極性驅(qū)動由于開關(guān)管要承受較高的反向電壓,因此只能 用在低壓小功率直流電動機驅(qū)動。所以無論是單極性驅(qū)動還是雙極性驅(qū)動,T型電 路的應(yīng)用都較少。 H型電路,顧名思義,其形狀像“H”字,也稱橋式電路,無論是單極性驅(qū)動還 是雙極性驅(qū)動,H型電路的應(yīng)用都較多。 而本次設(shè)計用到的便是“H”型單極性可逆PWM驅(qū)動電路,所以接下來對直流 電動機H型單極性可逆PWM驅(qū)動電路進行詳細介紹。 圖2-2 H型單極性可逆PWM驅(qū)動系統(tǒng) 如圖2-2所示。其為一單極性可逆PWM驅(qū)動系統(tǒng)。它由4個開關(guān)管和4個續(xù)流二 極管組成,單電源供電。當直流電動機正轉(zhuǎn)時,V1開關(guān)管根

36、據(jù)PWM控制信號同步 導(dǎo)通或關(guān)斷,而V2開關(guān)管則受PWM反相控制信號控制,V3保持常閉,V4保持常 開。當直流電動機反轉(zhuǎn)時,V3開關(guān)管根據(jù)PWM控制信號同步導(dǎo)通或關(guān)斷,而V4開 關(guān)管則受PWM反相控制信號控制,V1保持常閉,V2保持常開。 單極性驅(qū)動系統(tǒng)的PWM占空比仍用式(2-3)來計算。 當要求直流電動機在較大負載情況下正轉(zhuǎn)工作時,平均電壓大于感應(yīng)電動 a U 勢。在每個PWM周期的0 區(qū)間,V1導(dǎo)通,V2截止,電流經(jīng)V1、V4從A到B a E 1 t a I 流過電樞繞組,在每個PWM周期的區(qū)間,V2導(dǎo)通,V1截止,電源斷開,在 1 t 2 t 自感電動勢的作用下,經(jīng)二極管D2和開關(guān)管V

37、4進行續(xù)流,使電樞中仍然有電流流 過,方向是從A到B,這時由于二極管D2的箝位作用,V2實際不能導(dǎo)通。 當直流電動機在進行制動時,平均電壓小于感應(yīng)電動勢。在每個PWM a U a E Us V1V3 V2V4 D1D3 D2D4 AB 10 周期的0 區(qū)間,在感應(yīng)電動勢和自感電動勢共同作用下,電流經(jīng)二極管D4、D1 1 t 流向電源,方向是從B到A,直流電動機處在再生制動狀態(tài)。在每個PWM周期的 區(qū)間,V2導(dǎo)通,V1截止,在感應(yīng)電動勢的作用下,電流經(jīng)D4、V2仍然是從 1 t 2 t B到A流過繞組,直流電動機處在耗能制動狀態(tài)。 當直流電動機輕載或空載運行時,平均電壓與感應(yīng)電動勢幾乎相等。在

38、a U a E 每個PWM周期的0 區(qū)間,V2截止,當減小到零后,V1導(dǎo)通接通電源,電流改變 1 t 方向。在每個PWM周期的區(qū)間,V1截止,電流先是續(xù)流,當續(xù)流電流減小 1 t 2 t 到零后,V2導(dǎo)通,在感應(yīng)電動勢的作用下,電流改變方向。因此,在一個PWM周 期中,電流交替呈現(xiàn)再生制動、電動、續(xù)流電動、耗能制動4種狀態(tài),電流圍繞著 橫軸上下波動。 由此可見,單極性可逆PWM驅(qū)動的電流波動較小,可以實現(xiàn)4個象限運行,是 一種應(yīng)用非常廣泛的驅(qū)動方式,使用時要注意加“死區(qū)”,避免同一橋臂的開關(guān)管發(fā) 生直通短路。 H型雙極性可逆PWM系統(tǒng)的DSP控制與單極性可逆PWM系統(tǒng)的DSP控制基本 相同,雙

39、極性可逆PWM系統(tǒng)的占空比除了決定直流電動機的轉(zhuǎn)速外,還決定了直 流電動機的的轉(zhuǎn)向,因此,在電流PI調(diào)節(jié)控制中,必須根據(jù)轉(zhuǎn)向標志DIRECTION 來決定輸出極限。 下面也簡要介紹一下H型雙極性可逆PWM系統(tǒng),其電路圖與H型單極性可逆 PWM系統(tǒng)很相似,如圖2.2,系統(tǒng)中4個開關(guān)管分為兩組,V1、V4為一組, V2、V3為另一組。同一組的開關(guān)管同步導(dǎo)通或關(guān)斷,不同組的開關(guān)管的導(dǎo)通與關(guān) 斷正好相反。 在每個PWM周期里,當控制信號高電平時,開關(guān)管V1、V4導(dǎo)通,此時 1 i U 為低電平,因此V2、V3截止,電樞繞組承受從A到B的正向電壓;當控制信號 2i U 低電平時,開關(guān)管V1、V4截止,此

40、時為高電平,因此V2、V3導(dǎo)通,電樞繞 1 i U 2i U 組承受從B到A的反向電壓,這就是所謂的“雙極”。 由于在一個PWM周期里電樞電壓經(jīng)歷了正反兩次變化,因此其平均電壓可 a U 用下式?jīng)Q定。 (2-4) 111 2 ()(1)(21) asss tTtt UUUaU TTT 由式(2-4)可見,雙極性可逆PWM驅(qū)動時,電樞繞組所受的平均電壓取決于占 空比的大小。當=0時,=,直流電動機反轉(zhuǎn),且轉(zhuǎn)速最大;當=1時,aa a U s Ua =,直流電動機正轉(zhuǎn),且轉(zhuǎn)速也最大;=1/2時,=0,直流電動機不轉(zhuǎn)。雖 a U s Ua a U 然此時直流電動機不轉(zhuǎn),但電樞繞組中讓然有交變電流流動

41、,使直流電動機產(chǎn)生 11 高頻振蕩,這種振蕩有利于克服直流電動機負載的靜摩擦,提高動態(tài)性能。 雙極性驅(qū)動時。直流電動機可在4個象限上工作,低速時的高頻振蕩有利于消 除負載的靜摩擦,低速平穩(wěn)性好。但在工作的過程中,由于4個開關(guān)管都處在開關(guān) 狀態(tài),功率消耗較大,因此雙極性驅(qū)動只用于中小功率直流電動機。使用時也要 加“死區(qū)”,防止開關(guān)管直通。 2.2 測速方法 轉(zhuǎn)速的采集通常使用兩種方法:脈沖發(fā)生器測量,測速發(fā)電機測量。使用脈 沖發(fā)生器測量轉(zhuǎn)速的方法常有三種:M法測速,T法測速,M/T法測速9。 (1)M法測速 測取時間內(nèi)旋轉(zhuǎn)編碼器輸出的脈沖個數(shù),用以計算這段時間內(nèi)的平均轉(zhuǎn) c T 1 M 速,稱作

42、M法測速,M法測速只適用于高速段轉(zhuǎn)速測量。電機的轉(zhuǎn)速如下式。 (2-5) 1 60 / min c M nr ZT (2)T法測速 記錄編碼器兩個相鄰輸出脈沖間的高頻脈沖個數(shù),為高頻脈沖頻率,T 2 M 0 f 法測速適用于低速段轉(zhuǎn)速測量。電機轉(zhuǎn)速如下式。 (2-6) 0 2 6060 / min t f nr ZTZM (3)M/T法測速 把M法和T法結(jié)合起來,既檢測Tc時間內(nèi)旋轉(zhuǎn)編碼器輸出的脈沖個數(shù),又 1 M 檢測同一時間間隔的高頻時鐘脈沖個數(shù),用來計算轉(zhuǎn)速,稱作M/T法測速。采 2 M 用M/T法測速時,應(yīng)保證高頻時鐘脈沖計數(shù)器與旋轉(zhuǎn)編碼器輸出脈沖計數(shù)器同時開 啟與關(guān)閉以減小誤差。電機

43、轉(zhuǎn)速如下式。 (2-7) 101 2 6060 / min t M fM nr ZTZM 與脈沖發(fā)生器不同,用測速發(fā)電機測出的轉(zhuǎn)速不再是測量周期內(nèi)的平均轉(zhuǎn)速, 而是測量時刻的瞬時值。由于測量的是模擬量,所以必須經(jīng)過A/D轉(zhuǎn)換。在用測速 發(fā)電機檢測轉(zhuǎn)速時,采樣周期T不再受測速裝置的限制,主要由控制運算的需要來 決定。分辨率由A/D的位數(shù)決定10。 本次設(shè)計用到的測速儀器為光電傳感器(又稱光電開關(guān)),如圖2-3所示,其工 作原理就是捕獲電機轉(zhuǎn)動時產(chǎn)生的光信號轉(zhuǎn)變?yōu)殡妷好}沖信號,故采用的測速方 法為脈沖發(fā)生器測量法中的M法,M法測速原理非常適用于光電傳感器。 12 圖2-3 光電傳感器 2.3 本章

44、小結(jié) 本章對調(diào)速原理進行了說明,特別是對PWM調(diào)速控制原理進行了較詳細的介 紹,還詳細的介紹了直流電動機的測速方法,這對第4章的硬件功能設(shè)計,以及配 合第5章實現(xiàn)系統(tǒng)軟件各個功能有了理論前提,通過調(diào)速分析,對聯(lián)機試驗也有了 一定的指導(dǎo)作用。 13 3 DSP控制器介紹 為了滿足電動機控制系統(tǒng)的發(fā)展需要,20世紀90年代末,美國德州儀器(TI)公 司推出TMS320 x24x系列數(shù)字信號處理器(DSP),該系列DSP芯片專為實現(xiàn)高精度、 高性能、功能多樣化的電動機控制系統(tǒng)或運動控制系統(tǒng)設(shè)計。本章介紹了TI公司 的該系列DSP控制芯片及其發(fā)展過程以及開發(fā)需求。 3.1 DSP芯片發(fā)展及結(jié)構(gòu) TMS

45、320LF240 x系列DSP(Digital Signal Processor)是TMS320數(shù)字信號處理器 (DSP)家族中的一員,LF240 x系列的DSP是為了滿足大范圍的數(shù)字電動機控制 (DMC)應(yīng)用而設(shè)計的。LF240 x系列中的LF2407A芯片,是一種定點DSP芯片。它同 時集成了A/D轉(zhuǎn)換模塊和CAN控制器模塊,無需另外進行A/D轉(zhuǎn)換和CAN總線通信 之間的電路設(shè)計,簡化了系統(tǒng)的電路結(jié)構(gòu),提高了系統(tǒng)的可靠性。 3.1.1 DSP芯片發(fā)展 世界上第一個單片DSP芯片是1978年AMI公司推出的S2811,1979年美國Intel 公司推出的商用可編程器件2920是DSP芯片走向

46、市場的真正開始。這兩種芯片內(nèi)部 都沒有現(xiàn)有DSP芯片中都具備的單周期乘法器。1980年,日本NEC公司推出的 uPD7720時第一個具有乘法器的商用DSP芯片。在這之后,最成功的DSP芯片當之 無愧的應(yīng)該是美國德州儀器公司(TI,Texas Instrument)推向市場的一系列產(chǎn)品。TI 公司在1982年成功推出第一代DSP芯片TMS32010及其系列產(chǎn)品 TMS32011、TMS320ClO/C14,C15/C16/C17等之后,相繼推出了第二代DSP芯片 TMS32020、TMS320c25/C26,C28,第三代TMS320c30,C31/C32,第四代 TMS320C40/44,第五

47、代TMS320C5X/54X,第二代DSP芯片的改進型 TMS320C2XX,集成多片DSP芯片于一體的高性能DSP芯片TMS320C8X以及目前 速度最快的第六代DSP芯片TMs320C62/C67x等。TI將常用的DSP芯片歸納為三大 系列:TMS320C2000系列(包括TMS320C2X/C2XX)、TMS320C5000系列(包括 TMS320C5X/C54X/C55X)、TMS320C6000系列(包括TMS320C62X/C67X)。如今, TI公司的一系列DSP產(chǎn)品已經(jīng)成為世界上最有影響的DSP芯片。TI公司也成為世界 上最大的DSP芯片供應(yīng)商,其市場份額占全世界份額近50%。

48、 3.1.2 DSP芯片結(jié)構(gòu)原理 DSP芯片之所以具有快速數(shù)字信號處理運算的能力,是因為DSP芯片普遍采用 了特殊的硬件和軟件結(jié)構(gòu),以提高其數(shù)字信號處理的運算速度,并且多數(shù)DSP運算 操作可在一個指令周期內(nèi)完成。DSP芯片的基本結(jié)構(gòu)特征主要有如下幾種。 (1)哈佛結(jié)構(gòu) 14 哈佛結(jié)構(gòu)是不同于馮.諾伊曼結(jié)構(gòu)的并行體系結(jié)構(gòu)。哈佛結(jié)構(gòu)的主要特點是將 程序和數(shù)據(jù)存儲在不同的存儲空間中,即程序存儲器和數(shù)據(jù)存儲器是兩個相互獨 立的存儲器,每個存儲器獨立編址,獨立訪問。與兩個存儲器相對應(yīng)的系統(tǒng)中設(shè) 置了程序總線和數(shù)據(jù)總線,從而使數(shù)據(jù)的吞吐率提高了一倍。由于程序和數(shù)據(jù)存 儲在兩個分開的空間中,因此取址和執(zhí)行能

49、完全重疊。 (2)流水線操作11 流水線與哈佛結(jié)構(gòu)有關(guān)。DSP芯片廣泛采用流水線,以減少指令執(zhí)行的時間, 從而增強了處理器的處理能力。指令流水線由發(fā)生于指令執(zhí)行期間內(nèi)的總操作序 列組成。F240 x流水線具有5個獨立的階段:取指令、指令譯碼、取操作數(shù)以及指 令執(zhí)行。由于5個階段是獨立的,所以這些操作數(shù)可以重疊。這樣,在執(zhí)行本條指 令的同時,還依次完成了后面3條指令的取操作數(shù)、譯碼和取指的任務(wù),將指令周 期降到最小值。在任何給定的操作之內(nèi),14條指令可以被激活,每條指令處于不 同的階段。如3-1表示適用于單字、單周期指令且無等待狀態(tài)執(zhí)行的4級流水線操作。 利用這種流水線結(jié)構(gòu),加上執(zhí)行重復(fù)操作,保

50、證了數(shù)字信號處理器中使用最多的 乘法累加器運算可以在單個指令周期內(nèi)完成。 圖3-1 指令操作時序 (3)特殊的DSP指令 為了更好地滿足數(shù)字信號處理應(yīng)用的需要,在DSP指令系統(tǒng)中,設(shè)計了一些特 殊的DSP指令,以完成一些專門的運算。這些指令有專門的硬件支撐,在別的計算 機系統(tǒng)里要以打斷程序才能完成的任務(wù),在DSP里只需要一條指令就完成了。 (4)專用的硬件乘法器 乘法速度越快,DSP的性能越高。由于具有專用的應(yīng)用乘法器,乘法可以在一 個指令周期內(nèi)完成。 時鐘 取指令 譯碼 取操作數(shù) nn+1n+2 n-1nn+1 n-2n-1n 執(zhí)行n-3 n+3 n+2 n+1 nn-1n-2 15 (5)

51、片內(nèi)外兩級存儲結(jié)構(gòu) 隨著微電子技術(shù)的提高以及對DSP芯片處理能力的要求不斷增加,單靠片內(nèi)存 儲器(早期DSP芯片采用片內(nèi)存儲器)已難以滿足要求。多數(shù)DSP芯片開始有片外存 儲器的訪問功能。 (6)快速的指令周期 哈佛結(jié)構(gòu)、流水線操作、專用的硬件乘法器、特殊的DSP指令再加上集成電路 的優(yōu)化設(shè)計可使DSP芯片的指令周期在50ms以下,現(xiàn)在高檔的DSP指令周期可以達 到5ns。LF2407A的指令周期為25ns。 3.2 控制器TMS320LF2407A介紹 3.2.1 控制器TMS320LF2407A特點 TMS320系列包括:定點、浮點、多處理器數(shù)字信號處理器和定點DSP控制器。 TMS320

52、系列DSP的體系結(jié)構(gòu)專為實時信號處理而設(shè)計,該系列DSP控制器將實時 處理能力和控制器外設(shè)功能集于一身,為控制系統(tǒng)應(yīng)用提供了一個理想的解決方 案。目前TI公司主推的DSP有:定點系列TMS320C2000、TMS320C5000;浮點系 列TMS320C6000。其中TMS320C6000系列中也有部分為定點DSP。TMS320系列同 一產(chǎn)品系列中的器件具有相同的CPU結(jié)構(gòu),但片內(nèi)存儲器和外設(shè)的配置不同。派 生的器件集成了新的片內(nèi)存儲器和外設(shè),以滿足世界范圍內(nèi)電子市場的不同需求。 通過將存儲器和外設(shè)集成到控制器內(nèi)部,TMS320器件降低了系統(tǒng)成本,節(jié)省了電 路板空間,提高了系統(tǒng)的可靠性。在TM

53、S320系列DSP基礎(chǔ)上,TMS320LF2407A控 制器具有以下特點。 (1)中央處理單元 32位中央算數(shù)邏輯單元(CALU)。 32位累加器。 3個比例移位器。 16位*16位乘法器。 間接尋址用的8個16位輔助寄存器和輔助算數(shù)單元(ARAU)。 4級流水線操作。 8級硬件堆棧。 6個可屏蔽硬件中斷。 (2)存儲器 32K字片內(nèi)FLASH程序存儲器。 544字的片內(nèi)外設(shè)DARAM和2K字的片內(nèi)SARAM。 可用空間:程序存儲空間64K,數(shù)據(jù)存儲空間35.5K字,I/O空間64K字。 16 (3)指令集 單周期乘加指令。 具有FFT倒序位序交址尋址能力。 單周期指令執(zhí)行時間為25ns(40

54、MIPS)。 源代碼與定點TMS320C2X、C2XX、C5X兼容。 (4)電源 3.3V靜態(tài)CMOS工藝。 3種低功耗模式,且可以靈活開啟和關(guān)閉具體外設(shè)的時鐘。 LF2407A具有一些與單片機不同的硬件特點。 (1)時鐘 通過對晶振倍頻后,LF2407A的內(nèi)部時鐘是CPU時鐘,最高可以高達40MHz。 但是很多外設(shè)并不能承受這么快的時鐘。通過對CPU時鐘分頻,LF2407A所有外設(shè) 都可以單獨設(shè)置外設(shè)時鐘。 (2)中斷 DSP的中斷有其內(nèi)核中斷、事件管理模塊的中斷和系統(tǒng)模塊中斷組成。DSP內(nèi) 核中斷包括:由指令I(lǐng)NTR,NMI和TRAP產(chǎn)生的軟件中斷和來自復(fù)位RS,非屏蔽 NMI和可屏蔽IN

55、Tx(x=1,2,3,4,5,6)的硬件中斷;事件管理模塊的中斷包括: 通用定時器的周期事件中斷、通用定時器的比較事件中斷、通用定時器的溢出事 件中斷、單比較中斷、全比較中斷、捕獲中斷和電源驅(qū)動保護中斷(PDPINT);系 統(tǒng)模塊中斷包括:A/D轉(zhuǎn)換中斷、串行通信SCI的接收中斷、串行通信SCI的發(fā)送中 斷、串行外設(shè)接口SPI中斷、外部引腳XINTx(x=l,2,3)產(chǎn)生的可屏蔽中斷和外部 非屏蔽引腳NMI中斷。 (3)存儲器空間 LF2407A包含三個獨立的存儲空間,在調(diào)試過程中,對于片上的引腳,/MP MC 可以做出選擇,將其置于高電平時,進入微處理器(Micro Processor)模式

56、,尋址將 對外程序存儲器。在程序調(diào)試階段,常譯碼一個外部RAM存儲器,通過CCS中的 file-load Program命令,下載編譯好的程序到外部RAM中運行。 當把MP/MC引腳置位為低電平時,進入微控制器(Micro Controller)模式,尋址 內(nèi)部FLASH程序存儲器,等最后將程序調(diào)試完畢,再燒寫到內(nèi)部FLASH。同時 LF2407A還可以通過GEL語言來設(shè)置寄存器SCSR2的MP/MC位,從而決定程序存 儲器映射于內(nèi)部還是外部空間。 3.2.2 設(shè)計用到的模塊 (1)通用I/O口12 17 F2407系列有多達41個通用、雙向的數(shù)字量I/O(GPIO)引腳,其中大多數(shù)都是基 本

57、功能和一般I/O復(fù)用引腳。F2407系列的大多數(shù)I/O引腳都可用來實現(xiàn)其他功能。 數(shù)字量I/O端口模塊采用了一種靈活的方法,可實現(xiàn)控制專用I/O和復(fù)用I/O引腳的 功能。所有專有I/O和復(fù)用I/O的功能都可通過9個16位控制寄存器來設(shè)置。這些控 制器可分為兩類。 I/O端口復(fù)用控制寄存器(MCRx):用來控制選擇I/O端口作為基本功能或一 般I/O引腳功能。 數(shù)據(jù)和方向控制寄存器(PxDATDIR):當I/O端口用作一般I/O引腳功能時, 用數(shù)據(jù)和方向控制寄存器可控制數(shù)據(jù)和雙向I/O引腳的數(shù)據(jù)方向。這些寄存器直接 與雙向I/O引腳相連。 (2)事件管理器13 每個F240 x器件都包括兩個事件

58、管理模塊EVA和EVB。事件管理器模塊包括通 用定時器(GP)、比較單元、捕獲單元以及正交編碼脈沖電路。EVA和EVB的定時 器,比較單元以及捕獲單元的功能都相同,只是名稱不同。EVA模塊使用 CAP1/QEP1、CAP2/QEP2和CAP3這3個引腳作為捕獲或正交編碼脈沖的輸入腳, EVB模塊使用CAP4/QEP3、CAP5/QEP4和CAP6這3個引腳作為捕獲或正交編碼脈 沖的輸入腳。 事件管理器模塊中的通用定時器可以編程為在外部或內(nèi)部CPU時鐘的基礎(chǔ)上 運行。引腳TCLKINA提供了外部時鐘輸入,引腳TDIRA用于當通用定時器處于定 向增/減計數(shù)方式時,規(guī)定計數(shù)方向。 事件管理器模塊中的

59、所有輸入都由內(nèi)部CPU協(xié)調(diào)同步,一次跳變脈沖寬度必 須保持到兩個CPU時鐘的上升沿后,才被事件管理器模塊所識別。也就是說,如 果CPU時鐘已被選作CLKOUT輸出的信號源,則跳變必須保持CLKOUT輸出的兩 個下降沿。因此,建議任何跳變脈沖寬度必須保持至少兩個CPU時鐘周期。 事件管理器的中斷過程分為中斷產(chǎn)生和中斷處理,需設(shè)置相應(yīng)的中斷向量、 中斷標志寄存器(EVxIFRx)和中斷屏蔽寄存器(EVxIMRx)。 事件管理器EVA模塊中有3個全比較單元(比較單元1、2和3),EVB模塊同樣也 有3個全比較單元(比較單元4、5和6)。每個比較單元都有兩個相關(guān)的PWM輸出。 比較單元的時基由通用定時

60、器1(EVA模塊)和通用定時器3(EVB模塊)提供。每個模 塊的比較單元包括以下幾部分。 3個16位的比較寄存器(EVA模塊,為CMPR1、CMPR2和CMPR3;EVB模 塊,為CMPR4、CMPR5和CMPR6),它們各帶一個可讀/寫的影子寄存器; 一個可讀/寫的比較控制寄存器(EVA模塊為COMCONA,EVB模塊為 COMCONB); 18 一個16位比較方式控制寄存器(EVA模塊為ACTRA,EVB模塊AVTRB),它 們各帶一個可讀/寫的影子寄存器; 6個比較PWM(三態(tài))輸出引腳(EVA模塊為PWMy,y=1,2,3,4,5,6;EVB模塊 為PWMz,z=7,8,9,10,11

61、,12); 控制和中斷邏輯。 事件管理模塊可同時產(chǎn)生多達8路的PWM波形輸出。其由3個帶可編程死區(qū)控 制的比較單元產(chǎn)生獨立的3對輸出信號(即6個輸出),以及由GP定時器比較產(chǎn)生的2 個獨立的PWM輸出組成。 3.3 DSP開發(fā) 3.3.1 DSP開發(fā)所需硬件資源 DSP在開發(fā)時,必須用到仿真器,這是其開發(fā)的缺點也可以說是不利之一,因 為在市場上所賣的仿真器價格較高,以前,在市場上以兩大TI的第三方支持壟斷 著這項業(yè)務(wù),一個是合眾達公司,另一個是聞廳公司,但是最近這幾年,在一些 電子方面的網(wǎng)站上,也開始有仿真器出售,價格是那兩大公司的一半還少,這給 我們學生們帶來了一些實惠。 在應(yīng)用其仿真器開發(fā)

62、時,必須注意對驅(qū)動程序的安裝以及設(shè)置,否則無法使 用。 圖3-2 DSP仿真器 3.3.2 DSP軟件開發(fā) TMS320LF240 xDSP的應(yīng)用軟件開發(fā)主要完成以下的工作。 首先是選擇編程語言編寫源程序。TMS320LF240 x DSP支持3種編程語言:匯 編語言和C,C+語言。對于完成一般功能的代碼,這3種語言都可以使用,但是 對于一些運算量較大的關(guān)鍵代碼,和一些對時間要求較高的程序,最好還是采用 匯編語言來完成,以提高程序的運算效率。 19 當源程序編寫完成后,就要選擇開發(fā)工具和環(huán)境,來進行調(diào)試和完善。這里 有兩種環(huán)境可供TMS320LF240 x進行選擇。 一種是非集成的開發(fā)環(huán)境,另

63、一種是集成開發(fā)環(huán)境Code Composer Studio,簡 稱CCS。CCS在平時所用的Windows操作系統(tǒng)中運行,它集成了非集成開發(fā)環(huán)境的 所有功能,并擴展了許多其它的功能。在CCS中帶有C編譯器和其它相關(guān)的開發(fā)軟 件,使得用戶可以直接利用C語言進行開發(fā),同時,它還帶有一個功能齊全的優(yōu)化 編譯器,使用一種先進的優(yōu)化掃描技術(shù)產(chǎn)生高效簡潔的C代碼,面向 TMS320LF240 x的專用優(yōu)化,則充分利用了TMS320LF240 x獨有的結(jié)構(gòu)特點,另外 還提供了一些其它的措施用以提高C代碼的執(zhí)行效率,如函數(shù)嵌入等。 目前DSP軟件開發(fā)有三種方式:一種是直接寫匯編語言程序進行匯編鏈接;一 種是編

64、寫C語言程序,用C語言優(yōu)化軟件編譯鏈接;還可以進行混合編程,來完成 整個功能。為了標準化軟件開發(fā)流程,TI公司采用COFF14 (Common Object File Format)公共目標文件格式。采用這種格式更有利于模塊化編程,并且為管理代碼 段和目標系統(tǒng)存儲器提供強有力和更加靈活的方式。也就是說,一個完整的程序 通常是由若干模塊鏈接起來,使用一個命令文件(Command File擴展名為*.cmd), 鏈接器(Linker)可對模塊進行正確的組織。編譯時不必考慮硬件,當程序的一些模 塊代碼被修改或硬件設(shè)計發(fā)生變動時,程序員也不需要對程序代碼或變量地址進 行修改,這為程序編寫和程序的移植提

65、供了極大的方便。 DSP的程序編寫并不是單單只是程序語言的設(shè)計,要針對DSP硬件的特點來設(shè) 計軟件這樣才能更好的理解它的特點,做到事半功倍的效果,否則在程序的調(diào)試 過程中就是程序跑飛,都不知道是怎么飛的,更談不上理解DSP這個先進的數(shù)字信 號處理器。 3.4 本章小結(jié) 本章介紹了DSP控制器的發(fā)展過程以及工作原理,特別是對TMS320LF2407A 控制芯片進行了一定的介紹,講述了DSP開發(fā)所用到的硬件系統(tǒng),為第4章進行系 統(tǒng)硬件設(shè)計有了一定的理論依據(jù)。同時也講述了DSP開發(fā)所用到的軟件系統(tǒng),為第 5章軟件設(shè)計提供了設(shè)計平臺。 20 4 硬件設(shè)計 通過對DSP技術(shù)的研究分析以及第2章的調(diào)速原理

66、分析,在系統(tǒng)總體方案設(shè)計 的基礎(chǔ)上,制定了系統(tǒng)的硬件設(shè)計方案。本章介紹了以TMS320LF2407ADSP芯片 為核心器件的直流電機調(diào)速系統(tǒng)控制器的硬件整體設(shè)計方案。硬件部分主要包括 以下幾個部分:DSP供電電源的設(shè)計、JTAG接口電路的設(shè)計、脈沖觸發(fā)驅(qū)動電路 的設(shè)計、電機信號采集電路的設(shè)計、DSP驅(qū)動獨立按鍵鍵盤控制電路以及DSP驅(qū)動 12864F顯示電路的設(shè)計。 4.1 系統(tǒng)總體方案 圖 4-1 系統(tǒng)硬件總體設(shè)計圖 該系統(tǒng)的硬件主要包括TMS320LF2407DSP芯片、LCD顯示器、鍵盤、電機, 該系統(tǒng)具體包含四個部分:第一部分為DSP控制芯片的電源電路;第二部分為 JTAG接口電路;第三部分為DSP驅(qū)動獨立按鍵以及12864F顯示電路;第三部分為 脈沖驅(qū)動以及測速電路。本設(shè)計采用了H型驅(qū)動電

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!