九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

畢業(yè)論文基于單片機(jī)的可調(diào)直流穩(wěn)壓電源設(shè)計.doc

上傳人:good****022 文檔編號:116653395 上傳時間:2022-07-06 格式:DOC 頁數(shù):41 大?。?18.50KB
收藏 版權(quán)申訴 舉報 下載
畢業(yè)論文基于單片機(jī)的可調(diào)直流穩(wěn)壓電源設(shè)計.doc_第1頁
第1頁 / 共41頁
畢業(yè)論文基于單片機(jī)的可調(diào)直流穩(wěn)壓電源設(shè)計.doc_第2頁
第2頁 / 共41頁
畢業(yè)論文基于單片機(jī)的可調(diào)直流穩(wěn)壓電源設(shè)計.doc_第3頁
第3頁 / 共41頁

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《畢業(yè)論文基于單片機(jī)的可調(diào)直流穩(wěn)壓電源設(shè)計.doc》由會員分享,可在線閱讀,更多相關(guān)《畢業(yè)論文基于單片機(jī)的可調(diào)直流穩(wěn)壓電源設(shè)計.doc(41頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、哈爾濱劍橋?qū)W院畢 業(yè) 設(shè) 計論文題目: 基于單片機(jī)的可調(diào)直流穩(wěn)壓電源設(shè)計 學(xué) 生: 李玉秋 指導(dǎo)教師: 劉媛媛 講師 專 業(yè): 電子信息工程(電氣及其自動化)班 級: 09電氣4班 2013年 5 月哈爾濱劍橋?qū)W院畢 業(yè) 設(shè) 計 任 務(wù) 書題目名稱:基于單片機(jī)的可調(diào)直流穩(wěn)壓電源設(shè)計立題意義:可調(diào)穩(wěn)壓電源不僅具有開關(guān)電源體積小,損耗低的優(yōu)點,還具有線性電源輸出電壓紋波小,輸出特性好的優(yōu)點。并且引入單片機(jī)控制,使其在功能上具有一定智能化,能夠滿足一般低限度場合的供電需要。具有一定的的研究意義及實用價值。技術(shù)條件與要求:1.熟練掌握單片機(jī)原理、功能、程序設(shè)計。2.掌握穩(wěn)壓工作原理、電壓調(diào)節(jié)方法、AD

2、芯片和單片機(jī)的工作原理。任務(wù)內(nèi)容(包括內(nèi)容、計劃、時間安排、完成工作量與水平具體要求)一、任務(wù)內(nèi)容:1.查閱文獻(xiàn),掌握單片機(jī)的發(fā)展現(xiàn)狀及未來發(fā)展趨勢,穩(wěn)壓工作原理、電壓調(diào)節(jié)方法、AD芯片和單片機(jī)的工作原理。2.進(jìn)行單片機(jī)硬件設(shè)計,實現(xiàn)開關(guān)電源的數(shù)控調(diào)節(jié)。3.進(jìn)行單片機(jī)程序的設(shè)計。4.實現(xiàn)直流開關(guān)電源在單片機(jī)的控制下能夠輸出穩(wěn)定的、可調(diào)的直流電壓0.1 15V步進(jìn)調(diào)節(jié)。二、計劃、時間安排2012年 4 月26日2013年4 月 7 日 選題、撰寫階段2013年 4 月 8 日2013年5 月12日 中期檢查階段2013年 5 月13日2013年5 月24日 整理、答辯階段2013年 5 月25日

3、 畢業(yè)論文(設(shè)計)答辯三、完成工作量與水平具體要求 按照計劃進(jìn)度、指導(dǎo)教師的要求完成預(yù)定的工作量、提高論文的設(shè)計水平。 專業(yè)負(fù)責(zé)人意見: 簽名:年 月 日哈爾濱劍橋?qū)W院畢 業(yè) 設(shè) 計 審 閱 評 語一、指導(dǎo)教師評語 是否同意答辯: 同意答辯 不同意答辯 指導(dǎo)教師(簽名) 職 稱 年 月 日 二、評閱人評語 是否同意答辯: 同意答辯 不同意答辯 評閱教師(簽名) 職 稱 年 月 日 哈爾濱劍橋?qū)W院畢 業(yè) 設(shè) 計 答 辯 評 語 及 成 績?nèi)?、答辯委員會評語四、畢業(yè)設(shè)計成績 簽 字(蓋章):五、答辯委員會主任單位: 答辯委員會主任職稱: 答辯委員會主任簽字: 年 月 日基于單片機(jī)的可調(diào)直流穩(wěn)壓電源

4、設(shè)計摘 要隨著電子技術(shù)的迅速發(fā)展,直流電源應(yīng)用非常廣泛,其好壞直接影響著電氣設(shè)備或控制系統(tǒng)的工作性能,目前,市場上各直流電源的基本環(huán)節(jié)大致相同,主要都包括交流電源、交流變壓器(有時可以不用)、整流電路、濾波穩(wěn)壓電路等。本文運(yùn)用了將單片機(jī)控制系統(tǒng)應(yīng)用于開關(guān)穩(wěn)壓電源的方法和原理,提出的開關(guān)電源可調(diào)穩(wěn)壓輸出的觀點。認(rèn)為可以實現(xiàn)開關(guān)電源的數(shù)控調(diào)節(jié),并通過分析穩(wěn)壓工作原理、電壓調(diào)節(jié)方法、AD芯片和單片機(jī)的工作原理,使輸出電壓下實現(xiàn)了0.1 15V步進(jìn)調(diào)節(jié)。最終得出了直流開關(guān)電源在單片機(jī)的控制下能夠輸出穩(wěn)定的、可調(diào)的直流電壓。該可調(diào)穩(wěn)壓電源不僅具有開關(guān)電源體積小,損耗低的優(yōu)點,還具有線性電源輸出電壓紋波小

5、,輸出特性好的優(yōu)點。并且引入單片機(jī)控制,使其在功能上具有一定智能化,能夠滿足一般低限度場合的供電需要。具有一定的的研究意義及實用價值。關(guān)鍵詞:穩(wěn)壓電源;單片機(jī);ADAdjustable DC Regulated Switching Power Supply Base on Single-chip MicrocomputerAbstractWith the rapid development of electronic technology, the DC power supply is widely used, its quality directly affects the electric

6、al device or control the working performance of the system, at present, the market of the direct current power supply the basic link of roughly the same, mainly includes the AC power, the AC transformer (sometimes can not), a rectification circuit, a filtering voltage stabilizing circuit.This paper

7、discusses the application of the single chip microcomputer control system applied in switching power supply method and principle, puts forward view point of adjustable voltage regulator output switching power supply for. That can realize switching power supply control regulation, and through the ana

8、lysis of the working principle of voltage, voltage regulation method, A/D chip and the principle, so that the output voltage achieves to step regulator of 0.115V. Finally draw the conclusion that the DC switching power supply under the control of single-chip microcomputer can output stable, adjustab

9、le DC voltage.The adjustable regulated power supply has not only the advantages of small volume, low loss,but also the advantages of small output ripple voltage of linear power supply, advantages of output characteristics. And the introduction of SCM control, its function has certain intelligence, c

10、an satisfy needs of the general low limit the power occasions. And has certain research value and practical significance.Keywords: Regulated Power Supply; SCM; AD Chip目 錄摘要IAbstractII1 緒論11.1 可調(diào)直流穩(wěn)壓電源國內(nèi)發(fā)展現(xiàn)狀11.2 可調(diào)直流穩(wěn)壓電源國外發(fā)展現(xiàn)狀11.3 可調(diào)直流穩(wěn)壓電源研究的目的及意義12 可調(diào)直流穩(wěn)壓電源的基本原理32.1 直流穩(wěn)壓電源總體結(jié)構(gòu)32.2 AT89C51單片機(jī)原理及其介紹42

11、.3 數(shù)碼管動態(tài)顯示原理介紹82.4 數(shù)模轉(zhuǎn)化電路原理介紹112.5 電源變壓器原理介紹152.6 整流電路原理介紹152.7 濾波電路原理介紹162.8 穩(wěn)壓電路原理介紹182.9 保護(hù)電路原理介紹193 可調(diào)直流穩(wěn)壓電源硬件電路設(shè)計203.1 Protel 99SE203.2 電源原理圖設(shè)計223.2.1 開關(guān)電源電路設(shè)計223.2.2 模數(shù)轉(zhuǎn)換電路設(shè)計223.2.3 保護(hù)電路設(shè)計233.2.4 單片機(jī)控制電路設(shè)計243.2.5 數(shù)碼管顯示電路設(shè)計243.2.6 電源硬件電路原理圖254 可調(diào)直流穩(wěn)壓電源軟件設(shè)計264.1 Keil編程軟件264.2 C語言程序26結(jié)論30致謝31參考文獻(xiàn)

12、32IV哈爾濱劍橋?qū)W院畢業(yè)設(shè)計基于單片機(jī)的可調(diào)直流穩(wěn)壓電源設(shè)計1 緒論1.1 可調(diào)直流穩(wěn)壓電源國內(nèi)發(fā)展現(xiàn)狀在我國,以電力電子學(xué)為核心技術(shù)的電源產(chǎn)業(yè),從二十世紀(jì)四十年代中期開始形成,到了九十年代以來,電源產(chǎn)業(yè)進(jìn)入快速發(fā)展時期。一方面,電源產(chǎn)業(yè)規(guī)模的發(fā)展的發(fā)展在加快;另一方面,在國家自然科學(xué)基金的資助和創(chuàng)新意識指導(dǎo)下,我國電力電子技術(shù)的研究從吸收消化和一般跟蹤發(fā)展到前沿跟蹤和基礎(chǔ)創(chuàng)新,電源產(chǎn)業(yè)界涌現(xiàn)了一些技術(shù)難度較大,具有國際先進(jìn)水平的產(chǎn)品,而且還產(chǎn)生了一大批具有代表性的研究成果和產(chǎn)品。目前國內(nèi)還開展了跟蹤國際多方面前沿性課題的研究或基礎(chǔ)創(chuàng)新研究。但我國在電源產(chǎn)品的質(zhì)量、可靠性和智能化的方面相對落

13、后。1.2 可調(diào)直流穩(wěn)壓電源國外發(fā)展現(xiàn)狀而以美國為首的幾個發(fā)達(dá)國家,在電源產(chǎn)品的工藝水平、開發(fā)投入、生產(chǎn)規(guī)模、先進(jìn)檢測設(shè)備、網(wǎng)絡(luò)化和持續(xù)續(xù)航能力等方面相對領(lǐng)先?,F(xiàn)在,正向著數(shù)字化、模塊化和綠色化等方向發(fā)展。歐美等國的直流穩(wěn)壓電源多采用向開關(guān)電源實現(xiàn),開關(guān)電源具有效率高、體積小、重量輕等顯著特點。目前世界各國都有廣泛的應(yīng)用,特別是對大容量高頻開關(guān)電源的研究和開發(fā)已成為當(dāng)今電力電子學(xué)的主要研究領(lǐng)域,并派生了很多新的研究方向。開關(guān)電源在通信領(lǐng)域中,通常將高頻整流器稱為一次電源而將直流-直流(DC/DC)變換器稱為二次電源。同時,開關(guān)電源也在各種電子信息設(shè)備中,如計算機(jī)、充電電源等得到了廣泛的應(yīng)用。本

14、文的主要內(nèi)容就是研制一種高性能直流開關(guān)電源。1.3 可調(diào)直流穩(wěn)壓電源研究的目的及意義在當(dāng)代科技與經(jīng)濟(jì)高速發(fā)展的過程中,電源技術(shù)尤其是數(shù)控電源技術(shù)是一門實踐性很強(qiáng)的工程技術(shù),服務(wù)于各行各業(yè)。電力電子技術(shù)是電能的最佳應(yīng)用技術(shù)之一。當(dāng)今電源技術(shù)融合了電氣、電子、系統(tǒng)集成、控制理論、材料等諸多學(xué)科領(lǐng)域。隨著計算機(jī)和通訊技術(shù)發(fā)展而來的現(xiàn)代信息技術(shù)革命,給電力電子技術(shù)提的現(xiàn)代信息技術(shù)革命,同時也給電源提出了更高的要求。隨著數(shù)控電源在電子裝置中的普遍使用,普通電源在工作時產(chǎn)生的誤差,會影響整個系統(tǒng)的精確度。電源在使用時會造成很多不良后果,因此電源的數(shù)字化控制無疑是人們追求的目標(biāo)之一,它所給人帶來的方便也是不

15、可否定的,其中數(shù)控直流穩(wěn)壓電源就是一個很好的典型例子,人們對它的要求也越來越高,要想為現(xiàn)代人工作、科研,在當(dāng)代科技與經(jīng)濟(jì)高速發(fā)展的過程中,電源起到關(guān)鍵性的作用。隨著計算機(jī)和通訊技術(shù)發(fā)展而為生活、提供更好的,更方便的設(shè)施就需要從數(shù)字電子技術(shù)入手,一切向數(shù)字化,智能化方向發(fā)展。對我們學(xué)生而言,在大學(xué)的實驗室里和課程設(shè)計里面,有一個穩(wěn)定可調(diào)的直流電源是很有必要的。因傳統(tǒng)的直流穩(wěn)壓電源輸出電壓是通過粗調(diào)波段開關(guān)及細(xì)調(diào)電位器來調(diào)節(jié)的,并由電壓表指示電壓值的大小。這種直流穩(wěn)壓電源存在讀數(shù)不直觀、電位器易磨損、穩(wěn)壓精度不高、不易調(diào)準(zhǔn)、電路構(gòu)成復(fù)雜、體積大等缺點,而基于單片機(jī)控制的數(shù)字式可調(diào)穩(wěn)壓電源能較好地解

16、決了以上問題。 本題采用單片機(jī)和其它元器件及外圍電路,開發(fā)一個數(shù)字式可調(diào)穩(wěn)壓電源。能夠設(shè)定輸出電壓值、電壓值輸出顯示、存儲等功能。通過此系統(tǒng)的設(shè)計,讓開發(fā)者更深刻的掌握單片機(jī)基本原理,并熟悉一些外圍電路的擴(kuò)展,以及進(jìn)一步提高C語言的硬件編程能力。2 可調(diào)直流穩(wěn)壓電源的基本原理2.1 直流穩(wěn)壓電源總體結(jié)構(gòu)在電子電路中,通常都需要電壓穩(wěn)壓的直流電源供電。日常生活中也需要將交流電轉(zhuǎn)變成直流電,形成直流穩(wěn)壓電源。一般直流穩(wěn)壓電源以一穩(wěn)壓電源為基礎(chǔ),以高性能單片機(jī)系統(tǒng)為控制核心,以穩(wěn)壓驅(qū)動放大電路、過流檢測電路為外圍的硬件系統(tǒng),在檢測與控制軟件的支持下實現(xiàn)對電壓輸出的數(shù)字控制,通過對穩(wěn)壓電源輸出的電流、

17、電壓進(jìn)行數(shù)據(jù)采樣與給定數(shù)據(jù)比較,從而調(diào)整和控制穩(wěn)壓電源的工作狀態(tài)及監(jiān)測開關(guān)電路的。可調(diào)直流穩(wěn)壓電源原理框圖如圖2-1所示1。單片機(jī)復(fù)位Header 5x2時鐘震蕩AT89C51單片機(jī)四位LED顯示TLC1543滑動變阻器調(diào)節(jié)輸出穩(wěn)壓放大電路變壓及整流5V直流電壓供電圖21 可調(diào)直流電源原理框圖直流穩(wěn)壓電源是一種將220V工頻交流電轉(zhuǎn)換成穩(wěn)壓輸出的直流電壓的裝置,它需要經(jīng)過變壓、整流、濾波、穩(wěn)壓四個環(huán)節(jié)才能完成2。電源變壓器:是降壓變壓器,它將電網(wǎng)220V交流電壓變換成符合需要的交流電壓,并送給整流電路,變壓器的變比由變壓器的副邊電壓確定。整流濾波電路:整流電路將交流電壓Ui變換成脈動的直流電壓

18、。再經(jīng)濾波電路濾除較大的紋波成分,輸出紋波較小的直流電壓U1。常用的整流濾波電路有全波整流濾波、橋式整流濾波等。濾波電路:可以將整流電路輸出電壓中的交流成分大部分加以濾除,從而得到比較平滑的直流電壓各濾波電容C滿足RL-C(35)T/2,或中T為輸入交流信號周期,RL為整流濾波電路的等效負(fù)載電阻。穩(wěn)壓電路:穩(wěn)壓電路的功能是使輸出的直流電壓穩(wěn)定,不隨交流電網(wǎng)電壓和負(fù)載的變化而變化。常用的集成穩(wěn)壓器有固定式三端穩(wěn)壓器與可調(diào)式三端穩(wěn)壓器。常用可調(diào)式正壓集成穩(wěn)壓器有CW317(LM317)系列,它們的輸出電壓從1.25V37伏可調(diào),最簡的電路外接元件只需一個固定電阻和一只電位器。其芯片內(nèi)有過渡、過熱和

19、安全工作區(qū)保護(hù),最大輸出電流為1.5A。其典型電路如下圖,其中電阻R1與電位器R2組成輸出電壓調(diào)節(jié)器,輸出電壓Uo的表達(dá)式為:Uo1.25(1R2/R1)式中R1一般取120240歐姆,輸出端與調(diào)整端的壓差為穩(wěn)壓器的基準(zhǔn)電壓(典型值為1.25V)。2.2 AT89C51單片機(jī)原理及其介紹AT89C51是美國ATMEL公司生產(chǎn)的低電壓,高性能CMOS8位單片機(jī),片內(nèi)含4k bytes的可反復(fù)擦寫的只讀程序存儲器(PEROM)和128位bytes的隨機(jī)存取數(shù)據(jù)存儲器(RAM),器件采用ATMEL公司的高密度、非易失性存儲技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器(CPU)和F

20、lash存儲單元,功能強(qiáng)大AT89C51單片機(jī)可為您提供許多高性價比的應(yīng)用場合,可靈活應(yīng)用于各種控制領(lǐng)域3。2.2.1 AT89C51的功能主要性能參數(shù):(1)與MCS-51產(chǎn)品指令系統(tǒng)完全兼容(2)4k字節(jié)可重復(fù)擦寫Flash閃速存儲器(3)1000次擦寫周期(4)10年數(shù)據(jù)保留時間(5)全靜態(tài)操作:0Hz-24MHz(6)三級加密程序存儲器(7)1288字節(jié)內(nèi)部RAM(8)32個可編程I/O口線(9)2個16位定時/計數(shù)器(10)6個中斷源(11)可編程串行UART通道(12)低功耗空閑和掉電模式功能特性概述:AT89C51提供一下標(biāo)準(zhǔn)功能:4k字節(jié)Flash閃速存儲器,128字節(jié)內(nèi)部RA

21、M,32個I/O口線,兩個16位定時/計數(shù)器,一個5向量兩級中斷結(jié)構(gòu),一個全雙工串行通信口,片內(nèi)振蕩器及時鐘電路,同時,AT89C51可降至0Hz的靜態(tài)邏輯操作,并支持兩種軟件可選的節(jié)電工作模式。空閑方式停止CPU的工作,但允許RAM,定時/計數(shù)器,串行通信口及中斷系統(tǒng)繼續(xù)工作。調(diào)線方式保存RAM中的內(nèi)容,但震蕩停止器工作并禁止其他所有部件工作到下一個硬件復(fù)位4。2.2.2 AT89S51的個引腳功能AT89C51單片機(jī)引腳圖如圖2-2所示。圖22 AT89C51單片機(jī)引腳圖VCC:AT89C51電源正端輸入,接+5V。 GND:電源地端。XTAL1:單芯片系統(tǒng)時鐘的反相放大器輸入端。XTAL

22、2:系統(tǒng)時鐘的反相放大器輸出端,一般在設(shè)計上只要在 XTAL1和 XTAL2 上接上一只石英振蕩晶體系統(tǒng)就可以動作了,此外可以在兩引腳與地之間加入-20PF 的小電容,可以使系統(tǒng)更穩(wěn)定,避免噪聲干擾而死機(jī)。RST:AT89C51的重置引腳,高電平動作,當(dāng)要對晶片重置時,只要對此引腳電平提升至高電平并保持兩個機(jī)器周期以上的時間,AT89C51便能完成系統(tǒng)重置的各項動作,使得內(nèi)部特殊功能寄存器之內(nèi)容均被設(shè)成已知狀態(tài),并且至地址0000H處開始讀入程序代碼而執(zhí)行程序。EA/VPP:“EA”為英文“External Access”的縮寫,表示存取外部程序代碼之意,低電平動作,也就是說當(dāng)此引腳接低電平后

23、,系統(tǒng)會取用外部的程序代碼(存于外部EPROM中)來執(zhí)行程序。因此在8031及8032中,EA引腳必須接低電平,因為其內(nèi)部無程序存儲器空間。如果是使用 8751 內(nèi)部程序空間時,此引腳要接成高電平。此外,在將程序代碼燒錄至8751內(nèi)部EPROM時,可以利用此引腳來輸入21V的燒錄高壓(VPP)。ALE/PROG:ALE是英文“Address Latch Enable”的縮寫,表示地址鎖存器啟用信號。AT89C51可以利用這支引腳來觸發(fā)外部的8位鎖存器(如74LS373),將端口0的地址總線(A0A7)鎖進(jìn)鎖存器中,因為AT89C51是以多工的方式送出地址及數(shù)據(jù)。平時在程序執(zhí)行時ALE引腳的輸出

24、頻率約是系統(tǒng)工作頻率的1/6,因此可以用來驅(qū)動其他周邊晶片的時基輸入。此外在燒錄8751程序代碼時,此引腳會被當(dāng)成程序規(guī)劃的特殊功能來使用。PSEN:此為“Program Store Enable”的縮寫,其意為程序儲存啟用,當(dāng)8051被設(shè)成為讀取外部程序代碼工作模式時(EA=0),會送出此信號以便取得程序代碼,通常這支腳是接到EPROM的OE腳。AT89C51可以利用PSEN及RD引腳分別啟用存在外部的RAM與EPROM,使得數(shù)據(jù)存儲器與程序存儲器可以合并在一起而共用64K的定址范圍5。P0.0P0.7:端口0是一個8位寬的開路汲極(Open Drain)雙向輸出入端口,共有8個位,P0.0

25、表示位0,P0.1表示位1,依此類推。其他三個I/O端口(P1、P2、P3)則不具有此電路組態(tài),而是內(nèi)部有一提升電路,P0在當(dāng)做I/O用時可以推動8個LS的TTL負(fù)載。如果當(dāng)EA引腳為低電平時(即取用外部程序代碼或數(shù)據(jù)存儲器),P0就以多工方式提供地址總線(A0A7)及數(shù)據(jù)總線(D0D7)。設(shè)計者必須外加一鎖存器將端口0送出的地址栓鎖住成為A0A7,再配合端口2所送出的A8A15合成一完整的16位地址總線,而定址到64K的外部存儲器空間。P2.0P2.7:端口2是具有內(nèi)部提升電路的雙向I/O端口,每一個引腳可以推動4個LS的TTL負(fù)載,若將端口2的輸出設(shè)為高電平時,此端口便能當(dāng)成輸入端口來使用

26、。P2除了當(dāng)做一般I/O端口使用外,若是在AT89S51擴(kuò)充外接程序存儲器或數(shù)據(jù)存儲器時,也提供地址總線的高字節(jié)A8A15,這個時候P2便不能當(dāng)做I/O來使用了。P1.0P1.7:端口1也是具有內(nèi)部提升電路的雙向I/O端口,其輸出緩沖器可以推動4個LS TTL負(fù)載,同樣地若將端口1的輸出設(shè)為高電平,便是由此端口來輸入數(shù)據(jù)。如果是使用8052或是8032的話,P1.0又當(dāng)做定時器2的外部脈沖輸入腳,而P1.1可以有T2EX功能,可以做外部中斷輸入的觸發(fā)腳位。P3.0P3.7:端口3也具有內(nèi)部提升電路的雙向I/O端口,其輸出緩沖器可以推動4個TTL負(fù)載,同時還多工具有其他的額外特殊功能,包括串行通

27、信、外部中斷控制、計時計數(shù)控制及外部數(shù)據(jù)存儲器內(nèi)容的讀取或?qū)懭肟刂频裙δ?。其引腳分配如下:P3.0:RXD,串行通信輸入。P3.1:TXD,串行通信輸出。P3.2:INT0,外部中斷0輸入。P3.3:INT1,外部中斷1輸入。P3.4:T0,計時計數(shù)器0輸入。P3.5:T1,計時計數(shù)器1輸入。P3.6:WR:外部數(shù)據(jù)存儲器的寫入信號。P3.7:RD,外部數(shù)據(jù)存儲器的讀取信號。RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時,要保持RST腳兩個機(jī)器周期的高電平時間。ALE/PROG:當(dāng)訪問外部存儲器時,地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時,AL

28、E端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的1/6。因此它可用作對外部輸出的脈沖或用于定時目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲器時,將跳過一個ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時,ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。PSEN:外部程序存儲器的選通信號。在由外部程序存儲器取指期間,每個機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲器時,這兩次有效的/PSEN信號將不出現(xiàn)。EA/VPP:當(dāng)/EA保持低電平時,則在此期間外部程序存儲器(0000H-FFFFH),

29、不管是否有內(nèi)部程序存儲器。注意加密方式1時,/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時,此間內(nèi)部程序存儲器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時鐘工作電路的輸入。XTAL2:來自反向振蕩器的輸出7。2.3 數(shù)碼管動態(tài)顯示原理介紹本文采用四位數(shù)碼管顯示設(shè)備來顯示輸出電壓。2.3.1 數(shù)碼管結(jié)構(gòu)及原理7段LED數(shù)碼管如圖2-3所示。圖23 7段LED數(shù)碼管LED顯示器又稱為數(shù)碼管,LED顯示器由8個發(fā)光二極管組成。中7個長條形的發(fā)光管排列成“日”字形,另一個賀點形的發(fā)光管在顯示器的右下角作為顯示小數(shù)點用,它能顯示各種數(shù)字

30、及部份英文字母。LED顯示器有兩種不同的形式:一種是8個發(fā)光二極管的陽極都連在一起的,稱之為共陽極LED顯示器;另一種是8個發(fā)光二極管的陰極都連在一起的,稱之為共陰極LED顯示器8。共陰與共陽極LED顯示器如圖2-4所示。圖24 共陰與共陽極LED顯示器LED顯示器可分為共陽和共陰兩種結(jié)構(gòu),如上圖所示。圖上為共陰結(jié)構(gòu)。即把8個發(fā)光二極管陰極連在一起。這時如果需要點亮a到g中的任何一盞燈,只需要在相應(yīng)的端口輸入高電平即可;輸入低電平則截止。比如我們現(xiàn)在要顯示數(shù)字“3”,則只要在對應(yīng)的a、b、c、d、g段送入高電平,在其他端送入低電平即可,點亮為“3”。共陰和共陽結(jié)構(gòu)的LED顯示器各筆劃段名和安排

31、位置是相同的。當(dāng)二極管導(dǎo)通時,相應(yīng)的筆劃段發(fā)亮,由發(fā)亮的筆劃段組合而顯示的各種字符。8個筆劃段hgfedcba對應(yīng)于一個字節(jié)(8位)的D7 D6 D5 D4 D3 D2 D1 D0,于是用8位二進(jìn)制碼就可以表示欲顯示字符的字形代碼。例如,對于共陰LED顯示器,當(dāng)公共陰極接地(為零電平),而陽極hgfedcba各段為0111011時,LED顯示器顯示“P”字符,即對于共陰極LED顯示器,“P”字符的字形碼是73H。如果是共陽LED顯示器,公共陽極接高電平,那么顯示“P”字符的字形代碼應(yīng)為10001100(8CH)。共陽共陰LED常見字符對應(yīng)段碼表如表2-1所示。表21 共陽共陰LED常見字符對應(yīng)

32、段碼表顯示字符共陰極段碼共陽極段碼顯示字符共陰極段碼共陽極段碼03FHC087FH80H106HF996FH90H25BHA4A77H88H34FHB0B7CH83H466H99HC39HC656DH92HD5EHA1H67DH82HE79H86H707HF8F71H8EH2.3.2 數(shù)碼管顯示方式點亮LED顯示器有兩種方式:一是靜態(tài)顯示;二是動態(tài)顯示。在本次設(shè)計中,采用的是靜態(tài)顯示。所謂靜態(tài)顯示,就是每一個顯示器都要占用單獨(dú)的具有鎖存功能的I/O接口用于筆劃段字形代碼。這樣單片機(jī)只要把要顯示的字形代碼發(fā)送到接口電路,就不用管它了,直到要顯示新的數(shù)據(jù)時,再發(fā)送新的字形碼,因此,使用這種方法單片

33、機(jī)中CPU的開銷小。這種電路的優(yōu)點在于:在同一時間可以顯示不同的字符;但缺點就是占用端口資源較多。從下圖可以看出,每位LED顯示器需要單獨(dú)占用8根端口線,因此,在數(shù)據(jù)較多的時候,往往不采用這種設(shè)計,而是采用動態(tài)顯示方式。數(shù)碼管動態(tài)顯示圖如圖2-5所示。圖25 數(shù)碼管動態(tài)顯示圖所謂動態(tài)顯示,就是將要顯示的多位LED顯示器采用一個8位的段選端口,然后采用動態(tài)掃描一位一位地輪流點亮各位顯示器。圖26 數(shù)碼管靜態(tài)顯示圖在此電路中,單片機(jī)的P0口用于控制4位LED的段選碼:P1口的P1.0P1.3用于控制4位LED位選碼。數(shù)碼管靜態(tài)顯示圖如圖2-6所示。由于所有的段選碼連在一起,所以同一瞬間只能顯示同一

34、種字符。但如果要顯示不同字符,則要借助位選碼來控制。(如果LED為共陰則P2.0P2.3輸出為高電平,如果LED為共陽則P1.0P1.3輸出為低電平。)例如,現(xiàn)在要顯示5678四個數(shù)字,則首先應(yīng)該將“5”的顯示代碼(共陰LED顯示器的顯示代碼為6DH,共陽LED顯示器的顯示代碼為92H)由P1.0送出,然后P2.0P2.3輸出相應(yīng)位碼(LED為共陰則P2.0P2.3輸出1000,LED為共陰則P2.0P2.3輸出0111)時,則可以看到在數(shù)碼管1上顯示的數(shù)字為“5”。再將顯示的數(shù)字“5”延時510ms,以造成視覺暫留效果;同時代碼由P1.0送出。用同樣的方法將其余3個數(shù)字“678”送數(shù)碼管2,

35、3,4顯示,于是最后則可以在4位LED顯示器上看到“5678”四個數(shù)字。為了使顯示效果更加穩(wěn)定,可以使每個數(shù)碼管顯示的數(shù)字不斷的重復(fù),但其中重復(fù)頻率達(dá)到了一定的程度的時候,加之人眼睛本身的視覺暫留效果的作用,便可以看到相當(dāng)穩(wěn)定的“5678”四個數(shù)字。本文使用單片機(jī)進(jìn)行控制能夠非常準(zhǔn)確地對電路進(jìn)行控制,大大提高了穩(wěn)壓電路的精度,能夠滿足人們對電源電壓的要求,采用LED進(jìn)行顯示能使我們非常方便準(zhǔn)確對電壓進(jìn)行控制。2.4 數(shù)模轉(zhuǎn)化電路原理介紹TLC1543美國TI司生產(chǎn)的多通道、低價格的模數(shù)轉(zhuǎn)換器。采用串行通信接口,該芯片具有如下的一些特點:10位精度、11通道、三種內(nèi)建的自測模式、提供EOC(轉(zhuǎn)換

36、完成)信號等。該芯片與單片機(jī)的接口采用串行接口方式,引線很少,與單片機(jī)連接簡單,可廣泛應(yīng)用于各種數(shù)據(jù)采集系統(tǒng)。TLC1543為20腳DIP裝的CMOS910位開關(guān)電容逐次A/D逼近模數(shù)轉(zhuǎn)換器,引腳排列下圖所示。其中A0A10(19 、11、12腳)為11 個模擬輸入端,REF+(14腳,通常為VCC)和REF-(13腳,通常為地)為基準(zhǔn)電壓正負(fù)端,CS(15腳)為片選端,在CS端的一個下降沿變化將復(fù)位內(nèi)部計數(shù)器并控制和使能ADDRESS、I/O CLOCK (18腳)和DATA OUT(16腳)。ADDRESS(17腳)為串行數(shù)據(jù)輸入端,是一個1的串行地址用來選擇下一個即將被轉(zhuǎn)換的模擬輸入或測

37、試電壓。DATA OUT 為A/D換結(jié)束3態(tài)串行輸出端,它與微處理器或外圍的串行口通信,可對數(shù)據(jù)長度和格式靈活編程。I/O CLOCK數(shù)據(jù)輸入/輸出提供同步時鐘,系統(tǒng)時鐘由片內(nèi)產(chǎn)生。芯片內(nèi)部有一個14通道多路選擇器,可選擇11個模擬輸入通道或3個內(nèi)部自測電壓中的任意一個進(jìn)行測試。片內(nèi)設(shè)有采樣-保持電路,在轉(zhuǎn)換結(jié)束時,EOC(19腳)輸出端變高表明轉(zhuǎn)換完成。內(nèi)部轉(zhuǎn)換器具有高速(10S轉(zhuǎn)換時間),高精度(10分辨率,最大1LSB不可調(diào)整誤差)和低噪聲的特點。1543引腳排列圖如2-7所示。VCCEOCI/O CLOCKADDRESSDATA OUTCSREF+REF-A10A9A0A1A2A3A4

38、A5A6A7A8 GND1112131415161718192012345678910 圖27 1543引腳排列圖2.4.1 TLC1543芯片的工作時序TLC1543工作時序,其工作過程分為兩個周期:訪問周期和采樣周期。工作狀態(tài)由CS使能或禁止,工作時CS必須置低電平。CS為高電平時,I/O CLOCK、ADDRESS被禁止,同時DATA OUT為高阻狀態(tài)。當(dāng)CPU使CS變低時,TLC1543開始數(shù)據(jù)轉(zhuǎn)換,I/O CLOCK、ADDRESS使能,DATA OUT脫離高阻狀態(tài)。隨后,CPU向ADDRESS提供4位通道地址,控制14個模擬通道選擇器從11個外部模擬輸入和3個內(nèi)部自測電壓中選通1

39、路送到采樣保持電路。同時,I/O CLOCK輸入時鐘時序,CPU從DATA OUT 端接收前一次A/D轉(zhuǎn)換結(jié)果。I/O CLOCK從CPU 接收10時鐘長度的時鐘序列。前4個時鐘用4位地址從ADDRESS端裝載地址寄存器,選擇所需的模擬通道,后6個時鐘對模擬輸入的采樣提供控制時序。模擬輸入的采樣起始于第4個I/O CLOCK下降沿,而采樣一直持續(xù)6個I/O CLOCK周期,并一直保持到第10個I/O CLOCK下降沿。轉(zhuǎn)換過程中,CS的下降沿使DATA OUT引腳脫離高阻狀態(tài)并起動一次I/O CLOCK工作過程。CS上升沿終止這個過程并在規(guī)定的延遲時間內(nèi)使DATA OUT引腳返回到高阻狀態(tài),經(jīng)

40、過兩個系統(tǒng)時鐘周期后禁止I/O CLOCK和ADDRESS端。1543工作時序如圖2-8所示。圖28 1543工作時序2.4.2 TLC1543的軟硬設(shè)計要點TLC1543三個控制輸入端CS、I/O CLOCK、ADDRESS和一個數(shù)據(jù)輸出端DATA OUT遵循串行外設(shè)接口SPI協(xié)議,要求微處理器具有SPI口。但大多數(shù)單片機(jī)均未內(nèi)置SPI口(如目前國內(nèi)廣泛采用的MCS51和PIC列單片機(jī)),需通過軟件模擬SPI協(xié)議以便和TLC1543接口。TLC1543芯片的三個輸入端和一個輸出端與51 系列單片機(jī)的I/O口可直接連接,具體連接方式可參見表2-2。軟件設(shè)計中,應(yīng)注意區(qū)分TLC1543的11個模

41、擬輸入通道和3個內(nèi)部測試電壓地址(后3個地址只用來測試你寫的地址是不是正確的,真正使用時不用后三個地址)。附表為模擬通道和內(nèi)部電壓測試地址。程序軟件編寫應(yīng)注意TLC1543通道地址必須為寫入字節(jié)的高四位,而CPU讀入的數(shù)據(jù)是芯片上次A/D轉(zhuǎn)換完成的數(shù)據(jù)。在本文后的程序中對此有詳細(xì)的說明10。1543模擬量輸入地址表如表2-2所示。表22 1543模擬量輸入地址表模擬輸入通道選擇輸入寄存器地址(2進(jìn)制)A00000A10001A20010A30011A40100A50101A60110A70111A81000A91001A101010內(nèi)部測試電壓選擇輸入地址輸出結(jié)果(16進(jìn)制)(Vref+Vre

42、f-)/21011200Vref+1100000Vref-11013ff注:Vref+為加到TLC1543 REF+端的電壓,Vref-是加到REF-端的電壓2.4.3 TLC1543芯片的應(yīng)用(1)PC機(jī)通信接口電路 MAX232 (IC3)為標(biāo)準(zhǔn)RS232接口轉(zhuǎn)換芯片,主要完成TTL至RS232電平的轉(zhuǎn)換,為單片機(jī)和PC機(jī)通信提供通道。在整個數(shù)據(jù)采集系統(tǒng)中,PC機(jī)除了處理各種采樣數(shù)據(jù)外,還負(fù)責(zé)對前臺單片機(jī)系統(tǒng)進(jìn)行管理,如故障診斷,參數(shù)設(shè)置等等。參數(shù)設(shè)置的其中一項為系統(tǒng)通信速率設(shè)置,管理人員可通過PC機(jī)任意設(shè)置單片機(jī)和PC機(jī)的通信速率,其設(shè)置參數(shù)保存在X25045的E2PROM存儲單元中,在

43、下次設(shè)置之前,該參數(shù)不會被更改。本例為單個采集系統(tǒng)的應(yīng)用實例,實際應(yīng)用中往往存在多系統(tǒng)并存的情況,這時可將MAX232 更換為MAX485 接口芯片,采用485總線標(biāo)準(zhǔn),通過一臺PC 機(jī)可在幾千米范圍內(nèi)管理數(shù)十臺前端機(jī)。(2)極性轉(zhuǎn)換電路 鑒于目前國內(nèi)采用的通信電源均為負(fù)電壓,而TLC1543模擬通道輸入只能為正電壓,因此-48V 直流電壓在送到A/D轉(zhuǎn)換器前除了要分壓外,還需將負(fù)電壓轉(zhuǎn)換為正電壓。圖4 為一個簡單的極性轉(zhuǎn)換電路,僅增加兩個電阻便可完成負(fù)電壓到正電壓的轉(zhuǎn)換,省去了復(fù)雜的極性轉(zhuǎn)換芯片。當(dāng)輸入電壓為0V時,TLC1543 A0端電壓為2.5V;當(dāng)輸入電壓為-5V時,A0端電壓為0V

44、。(3)TLC1543與89C51接口程序 TLC1543與89C51接口程序應(yīng)完全依照TLC1543的工作時序編寫,主要CONVETER 子程序組成。由于轉(zhuǎn)換完成的數(shù)據(jù)為10位,軟件編寫時將數(shù)據(jù)的高位字節(jié)存放在2EH單元中,低位字節(jié)存放在2FH單元中。其中R4、R3寄存器分別存放TLC1543的通道地址和數(shù)量;R1、R2寄存器存放A/D轉(zhuǎn)換結(jié)果。2.5 電源變壓器原理介紹220V20V圖29 20V變壓器變壓器是利用電磁感應(yīng)原理,從一個電路向另一個電路傳遞電能或傳輸信號的一種電器,是電能傳遞或作為信號傳輸?shù)闹匾W儔浩魇且环N靜止電機(jī),根據(jù)電磁感應(yīng)的原理,能夠?qū)⒁环N電壓的電能轉(zhuǎn)換為另一種電

45、壓的電能,以滿足不同負(fù)荷的需要。變壓器的主要部件是一個鐵心和套在鐵心上的兩個繞組。其中,與電源相連的線圈,接收交流電能,稱為一次繞組;與負(fù)載相連的線圈,送出交流電能,稱為二次繞組。20V變壓器如圖2-9所示。2.6 整流電路原理介紹整流電路的任務(wù)是將交流電變換成直流電。完成這一任務(wù)主要靠二級管的單向?qū)щ娮饔?。因此二極管是構(gòu)成整流電路的關(guān)鍵元件。常見的幾種整流電路有單相半波、全波、橋式和倍壓整流。20V220V圖210 單相橋式整流電路單相橋式整流電路如圖2-10所示。圖中左端為電源變壓器,它的作用事將交流電網(wǎng)電壓變成整流電路要求的交流電壓。單相橋式整流電路是由四個二極管接成電橋的形式構(gòu)成的。設(shè)

46、電源變壓器二次側(cè)電壓U=Usinwt(v),在U的正半周,極性為上正下負(fù),此時二極管D1、D3承受正向電壓而導(dǎo)通,D2、D4反向截止,電流i的通路是aD1RLD3b。負(fù)載RL上又得到半波電壓。在U的負(fù)半周,極性為上正下負(fù),此時二極管D2、D4導(dǎo)通,D1、D3反向截止,電流i的通路是bD2RLD4a。負(fù)載RL上又得到半波電壓。RL上得到的電壓U是單方向全波脈動。單相橋式整流濾波電路波形圖如圖2-11所示。wtU圖211 單相橋式整流濾波電路波形圖要使之接近于理想的直流電壓,在整流之后需加濾波電路,將單向脈動電壓中的交流分量盡量多地濾掉。2.7 濾波電路原理介紹濾波電路用于濾去整流輸出電壓中的紋波

47、,一般由電抗元件組成,如在負(fù)載電阻兩端并聯(lián)電容器C,或與負(fù)載串聯(lián)電感器L,以及由電容、電感組合而成的各種復(fù)式濾波電路。濾波電路的形式有很多,分為電容輸入式和電感輸入式。采用一只容量較大的電解質(zhì)電容器,所以要注意其極性,其正極要接電路高電位端,負(fù)端要接電路低電位端。若極性接反,過高的反向電壓可能擊穿電容器。橋式整流、電容濾波電路如圖2-12所示。20V220V UUwtwt圖212 橋式整流、電容濾波電路 圖213 直流電壓U的波形直流電壓U的波形如圖2-13所示。由于電容C1并聯(lián)在負(fù)載電阻R1上,所以電容C1兩端的電壓Uc就是負(fù)載的電壓U0,交流電壓U的波形;假設(shè),電路接通時,恰恰在電壓U由負(fù)

48、到正過零的時刻,這時二極管開始導(dǎo)通,電壓U通過二極管向電容C1充電,由于二極管的正向電阻很小,所以充電時間常數(shù)很小,電壓Uc將隨著電壓U按正弦規(guī)律逐漸升高,當(dāng)U增大到最大值時,Uc也隨之上升到最大值。然后U開始下降,Uc也開始下降,但他們按不同規(guī)律下降,U按正弦規(guī)律下降,而電容C1則通過負(fù)載R1放電,電容端電壓Uc按指數(shù)規(guī)律下降,由于放電時間常數(shù)較大,Uc下降緩慢。除了剛過最小值的一小段時間內(nèi),仍有Uc=U的關(guān)系外,之后就出現(xiàn)UUc的情況,二極管承受反向電壓,處于截止?fàn)顟B(tài)。電壓Uc按指數(shù)規(guī)律緩慢下降到wt=2以后,雖然電壓U又為正值,但由于UUc以后,二極管才又導(dǎo)通,電容C1由放電狀態(tài)重新變?yōu)?/p>

49、充電狀態(tài),Uc又隨著U上升。如此繼續(xù)下去,電壓Uc也就是負(fù)載電壓UL就變得平滑了,因而負(fù)載電壓的平均值也有所增大了。如果電容濾波電路接于橋式整流電路,則在交流電壓的一個周期內(nèi),電容C1有兩次充、放電,其放電時間比上述半波整流后所接電容濾波電路要短,故輸出電壓更為平滑。電容濾波使整流輸出電壓波形變得平直的原因,還可以從電容C1對脈動電流中的交流成分具有旁路作用來理解。由于電容C1與負(fù)載電阻R1并聯(lián),C1的容量愈大,整流后所得的脈動電流交流分量的頻率愈高,則電容C1的容抗愈小,而電阻R1 的阻值與頻率無關(guān),因此,脈動電流中的交流成分主要通過電容C1而被旁路,R1上的電流和電壓便較為平直了。2.8

50、穩(wěn)壓電路原理介紹20V220V圖214 集成穩(wěn)壓電路穩(wěn)壓電路采用三端穩(wěn)壓集成器7805和7812。三端IC是指這種穩(wěn)壓用的集成電路,只有三條引腳輸出,分別是輸入端、接地端和輸出端。它的樣子象是普通的三極管,TO- 220 的標(biāo)準(zhǔn)封裝,也有9013樣子的TO-92封裝。集成穩(wěn)壓電路如圖2-14所示。用78/79系列三端穩(wěn)壓IC來組成穩(wěn)壓電源所需的外圍元件極少,電路內(nèi)部還有過流、過熱及調(diào)整管的保護(hù)電路,使用起來可靠、方便,而且價格便宜。該系列集成穩(wěn)壓IC型號中的78或79后面的數(shù)字代表該三端集成穩(wěn)壓電路的輸出電壓,如7805表示輸出電壓為正5V,7812表示輸出電壓為正12V。在實際應(yīng)用中,應(yīng)在三

51、端集成穩(wěn)壓電路上安裝足夠大的散熱器(當(dāng)然小功率的條件下不用)。當(dāng)穩(wěn)壓管溫度過高時,穩(wěn)壓性能將變差,甚至損壞。2.9 保護(hù)電路原理介紹過流保護(hù)電路是電源產(chǎn)品中不可缺少的組成不分,根據(jù)其控制方法大致可以分為關(guān)斷方式和限流方式。限流方式由于其具有電流下垂特性,故障解除后開關(guān)電源能自動恢復(fù)工作,因此,得到比較廣泛的應(yīng)用。限流保護(hù)電路首先要有一個電流取樣環(huán)節(jié),目前,一般的做法是串聯(lián)一個小電阻或是用霍爾元件來獲得電流信號。當(dāng)取樣電流比較小的時候,這兩種取樣方法都是可取的。但當(dāng)取樣電流比較大時,電阻取樣會有較大的損耗,降低了變換器的效率,而霍爾元件取樣其體積比較大,且價格昂貴,對整個電源的成本也是個問題。保

52、護(hù)電路如圖2-15所示。當(dāng)電流小于設(shè)定值時,R7提供偏置電流,NPN飽和導(dǎo)通,對電流不起限制作用;當(dāng)電流大于設(shè)定值時,R9上的壓降增大,R9上的壓降與三極管結(jié)壓的接近R8的壓降,于是開始限制NPN通過的電流,這樣就把電流限制在一定的水平。圖215 保護(hù)電路3 可調(diào)直流穩(wěn)壓電源硬件電路設(shè)計3.1 Protel 99SEProtel 99SE是ProklTechnology公司開發(fā)的基于Windows環(huán)境下的電路板設(shè)計軟件。該軟件功能強(qiáng)大,人機(jī)界面友好,易學(xué)易用,仍然是大中專院校電學(xué)專業(yè)必學(xué)課程,同時也是業(yè)界人士首選的電路板設(shè)計工具12。Protel 99SE軟件如圖3-1所示。Protel 99

53、SE 由兩大部分組成:電路原理圖設(shè)計(Advanced Schematic)和多層印刷電路板設(shè)計(Advanced PCB)。其中Advanced Schematic由兩部分組成:電路圖編輯器(Schematic)和元件庫編輯器(Schematic Library)。圖31 Protel 99SE軟件3.1.1 電路原理圖編輯器概述:進(jìn)入Design Protel 99se后在Documents中通過右鍵 “New” 建立“SchematicDocument”文件,打開后即可進(jìn)行電路原理圖的編輯。先按照已畫好的電路草圖將所有元件找到拖放到編輯框里。將編輯框縮小,將元件照電路的樣子搭好,整體上排

54、列勻稱。接下來就可以進(jìn)行局部的連線了。或者可以先將電路的各個模塊先搭好,再通過框定各模塊平移組合成完整的電路,取消框定要通過EditDeSelectInside Area再用鼠標(biāo)框定以前選中的模塊,就可以解除,表現(xiàn)為模塊由黃色變成普通顏色。對某個工程的操作是對一個數(shù)據(jù)庫的操作,因此不同的數(shù)據(jù)庫會在不同的窗口中打開,通過最小化可看到各個數(shù)據(jù)庫的窗口。3.1.2 原理圖原件庫編輯器概述:雖然Protel本身包含了龐大的元件庫,但在實際應(yīng)用中總會遇到找不到元件的情況,這時就需要根據(jù)元件資料 自己動手在元件庫中制作這個元件。還有一種情況是各種元件分散在各個公司的元件庫中,不便與使用,所以要把常用元件集

55、中到一個元件庫中,這就要自己動手制作,將經(jīng)常用到的元件復(fù)制到這個元件庫中,方便以后的使用。基本操作:元件庫文件(.LIB)也是基于數(shù)據(jù)庫文件(.DDB)下的操作,同一個數(shù)據(jù)庫下元件庫中的元件才可以通過Tools - Copy Component 相互復(fù)制,不同數(shù)據(jù)庫時可先用右鍵的copy將整個元件庫復(fù)制到當(dāng)前數(shù)據(jù)庫,再在該數(shù)據(jù)庫中進(jìn)行單個元件的復(fù)制。在放置芯片引腳時大頭指的是引腳外側(cè),引腳名稱會嵌到芯片框里,引腳號在外側(cè)。(1)在畫芯片圖時,如果用到畫線的功能,則應(yīng)將View - Snap Grid 功能打開,可增加畫線時的定位精度。(2)不同設(shè)計文件之間拷貝模塊時,操作如下:先選中要拷貝的部

56、分拷貝,鼠標(biāo)變成十字線后在選定的區(qū)域中間點擊左鍵切換到另一個設(shè)計文件,粘貼即完成了操作。一般使用時,進(jìn)入Design Protel 99se后在Documents中通過右鍵 “New” 建立 “Schematic Document”文件,打開后即可進(jìn)行電路原理圖的編輯。先按照已畫好的電路草圖將所有元件找到拖放到編輯框里。將編輯框縮小,將元件照電路的樣子搭好,整體上排列勻稱。接下來就可以進(jìn)行局部的連線了。或者可以先將電路的各個模塊先搭好,再通過框定各模塊平移組合成完整的電路,取消框定要通過EditDeSelectInside Area再用鼠標(biāo)框定以前選中的模塊,就可以解除,表現(xiàn)為模塊由黃色變成普

57、通顏色。對某個工程的操作是對一個數(shù)據(jù)庫的操作,因此不同的數(shù)據(jù)庫會在不同的窗口中打開,通過最小化可看高各個數(shù)據(jù)庫的窗口。 3.2 電源原理圖設(shè)計本節(jié)主要介紹該穩(wěn)壓電壓的電路組成部分,電路原理圖及PCB圖。該直流穩(wěn)壓電源主要由四部分組成:開關(guān)電源電路、模數(shù)轉(zhuǎn)換電路、單片機(jī)控制電路、數(shù)碼管顯示電路和保護(hù)電路。各部分電路如下所示。3.2.1 開關(guān)電源電路設(shè)計該部電路包括變壓、 整流、濾波、穩(wěn)壓等各部分電路14。市電經(jīng)過變壓器降壓后,通過78L15變?yōu)?5v,對該電壓整流后的一部分電壓直接作為開關(guān)變換電路的輸入電壓,另外將其通過78L05得到5v的電壓,給開關(guān)電源控制電路部分的單片機(jī)提供工作電源。電路中

58、采用發(fā)光二極管作為電源指示燈,交流220v降壓后經(jīng)過整流橋整流輸出直流電壓作為開關(guān)變換電路的輸入電壓,78L05穩(wěn)壓輸出5v給單片機(jī)提供電源。開關(guān)電源電路圖如圖3-2所示。圖32 開關(guān)電源電路圖3.2.2 模數(shù)轉(zhuǎn)換電路設(shè)計AD轉(zhuǎn)換模塊TLC1543與單片機(jī)的接口采用串行接口方式,引線很少,與單片機(jī)連接簡單。模數(shù)轉(zhuǎn)換電路如圖3-3所示。其中A0A10是11路輸入,VCC和GND分別是電源引腳,REF+和REF-分別是參考電源的正負(fù)引腳,I/O Clock 是芯片的時鐘端,Adress 是地址選擇端,Data Out是數(shù)據(jù)輸出端,這三根引腳分別接到CPU的三個I/O端即可。EOC用于指示一次AD轉(zhuǎn)

59、換以完成,CPU可以讀取數(shù)據(jù),該引腳是低電平有效,根據(jù)需要改引腳可接入CPU的中斷引腳,一旦數(shù)據(jù)轉(zhuǎn)換完成,向CPU提出中斷請求,在CPU向TLC1543發(fā)出轉(zhuǎn)換命令后,過一段固定的時間去讀取數(shù)據(jù)即可15。模數(shù)轉(zhuǎn)換電路如圖3-4所示。圖33 模數(shù)轉(zhuǎn)換電路圖34 1543芯片轉(zhuǎn)換電路圖3.2.3 保護(hù)電路設(shè)計當(dāng)電流小于設(shè)定值時,由R1提供P3的偏置電流,P3飽和導(dǎo)通,對電流不起控制作用;當(dāng)電流大于或等于設(shè)定值時,R上的壓降增大,R上的壓降與三極管結(jié)壓的和接近R2 的壓降,于是開始限制P3通過的電流,這樣就把電流限制在一定的水平。也可將R2換成一個穩(wěn)壓管,限流更為精確。限流保護(hù)電路如圖3-5所示。圖35 限流保護(hù)電路3.2.4 單片機(jī)控制電路設(shè)計本設(shè)計的控制核心使用AT89S51單片機(jī),由于外部設(shè)備大多采用串行接口,單片機(jī)的外圍電路就十分簡單,只要外接晶體振蕩器和復(fù)位電路即可16。單片機(jī)接口電路圖如圖3-6所示。圖36 單片機(jī)接口電路圖3.2.5 數(shù)碼管顯示電路設(shè)計顯示電路如下圖所示,數(shù)碼管段碼接單機(jī)的P0口,位碼接單片機(jī)的P10P13口。由于單片機(jī)內(nèi)P0口沒有上拉電阻單片機(jī)上的P0口需接上上拉電阻,同時段碼和P0口之間也需要加電阻以保證數(shù)碼管17。數(shù)碼管顯示電路圖如圖3-7所示。

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!