九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

基于DSP的數(shù)字逆變電源的設(shè)計(畢業(yè)設(shè)計).docx

上傳人:good****022 文檔編號:116686787 上傳時間:2022-07-06 格式:DOCX 頁數(shù):53 大小:1.99MB
收藏 版權(quán)申訴 舉報 下載
基于DSP的數(shù)字逆變電源的設(shè)計(畢業(yè)設(shè)計).docx_第1頁
第1頁 / 共53頁
基于DSP的數(shù)字逆變電源的設(shè)計(畢業(yè)設(shè)計).docx_第2頁
第2頁 / 共53頁
基于DSP的數(shù)字逆變電源的設(shè)計(畢業(yè)設(shè)計).docx_第3頁
第3頁 / 共53頁

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《基于DSP的數(shù)字逆變電源的設(shè)計(畢業(yè)設(shè)計).docx》由會員分享,可在線閱讀,更多相關(guān)《基于DSP的數(shù)字逆變電源的設(shè)計(畢業(yè)設(shè)計).docx(53頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、本科畢業(yè)設(shè)計說明書基于TMS320LF2407A的數(shù)字逆變電源的設(shè)計THE DESIGN OF DIGITAL INVERTER BASED ON TMS320LF2407A學(xué)院(部):電氣與信息工程學(xué)院專業(yè)班級: 電氣09-7 學(xué)生姓名: 指導(dǎo)教師: 周小杰講師 2013年 06 月 01 日安徽理工大學(xué)畢業(yè)設(shè)計基于TMS320LF2407A的數(shù)字逆變電源的設(shè)計摘要逆變電源是一種采用電力電子技術(shù)是進行電能變換的裝置,它從交流或直流輸入獲得穩(wěn)壓恒頻的交流輸出。逆變電源技術(shù)是一門綜合性的產(chǎn)業(yè)技術(shù),它橫跨電力、電子、微處理器及自動控制等多學(xué)科領(lǐng)域,是目前電力電子產(chǎn)業(yè)和科研的熱點之一。逆變電源廣泛應(yīng)

2、用于航空、航海、電力、鐵路交通、郵電通信等諸多領(lǐng)域。電源技術(shù)的發(fā)展使得數(shù)字控制系統(tǒng)控制的電源取代傳統(tǒng)電源已成為必然。逆變電源的發(fā)展是和電力電子器件的發(fā)展聯(lián)系在一起的,器件的發(fā)展帶動著逆變電源的發(fā)展。目前逆變電源的核心部分就是逆變器和其控制部分,雖然在控制方法上已經(jīng)趨于成熟,但是其控制方法實現(xiàn)起來還是有所困難。因此,對逆變電源的控制和逆變器進行深入研究具有很大的現(xiàn)實意義。隨著現(xiàn)代科學(xué)技術(shù)的迅猛發(fā)展,逆變技術(shù)目前已朝著全數(shù)字化、智能化、網(wǎng)絡(luò)化的方向發(fā)展。而作為專用的DSP的出現(xiàn),更是為研究和設(shè)計新型的逆變電源提供了更方便、更靈活、功能更強大的技術(shù)平臺。本文采用美國德州儀器公司(TI)新近推出的一種

3、TMS320LF2407A數(shù)字信號處理器,作為逆變電源中的核心控制部分進行研究。以實現(xiàn)所研制的逆變裝置能輸出標準的正弦交流電。本文主要分析了變頻電源技術(shù)現(xiàn)狀、發(fā)展趨勢和存在的難點,指出論文的研究內(nèi)容和意義。詳細討論了逆變器的SPWM調(diào)制法工作原理,介紹了數(shù)字實現(xiàn)時對稱規(guī)則采樣法和不對稱規(guī)則采樣法的特點。通過分析SPWM波形產(chǎn)生規(guī)律和特點,選擇了以不對稱規(guī)則采樣法為基礎(chǔ)實現(xiàn)的單極性SPWM控制,并且具體介紹了DSP實現(xiàn)SPWM。文中設(shè)計出了整個逆變電源的硬件結(jié)構(gòu),其主要核心部分是IPM和DSP控制部分。這兩部分的結(jié)合使得該電源結(jié)構(gòu)簡單、性能優(yōu)良。并且針對DSP控制系統(tǒng)的各個部分進行了軟件設(shè)計,給

4、出了相應(yīng)的軟件流程圖。關(guān)鍵詞:逆變電源,SPWM,TMS320LF2407A,IPMTHE DESIGN OF DIGITAL INVERTER BASED ON TMS320LF2407AABSTRACTInverter is a power electronic technology is used for energy conversion device, which obtained from AC or DC input voltage constant frequency AC output. Inverter technology is a comprehensive conte

5、ntion industry technology, it stretches electricity, electronics, microprocessors and other multi-disciplinary field of automatic control, is the power electronics industry and scientific research hot spots. Power inverter is widely used in aviation, marine, electric power, railway transport, post a

6、nd telecommunications, and many other fields. Power technology enables digital power control system to replace the traditional power has become a necessity.The development of power inverter and power electronic devices linked to the development of the device led to the development of power inverter

7、development. Currently inverter is the core part of the inverter and its control part, although the control method has become mature, but its control method to achieve it is still somewhat difficult. Therefore, the inverter and the inverter to control depth study has great practical significance.Wit

8、h the rapid development of modern science and technology. As inverter technology has been moving all-digital, intelligent, network oriented direction. As a dedicated DSP appearance, but also for research and design of new power inverter provides a more convenient, more flexible, more powerful techno

9、logy platform. In this paper, Texas Instruments(TI)recently introduced a TMS320LF2407A digital signal processor as the core power inverter control part of research. Developed in order to achieve the standard inverter device can output a sinusoidal alternating current.This paper analyzes the variable

10、 frequency power supply technology status, trends and the presence of difficulties, that the thesis content and meaning. Discussed in detail SPWM modulation inverter principle, introduced a digital realization symmetric and asymmetric sampling rules the rules of sampling characteristics. SPWM wavefo

11、rm generated by analyzing the rules and characteristics, chose to asymmetric regular sampling method is based on the realization of the unipolar SPWM control, and specifically describes the DSP implementation SPWM. The paper designed the entire inverter hardware structure, the main core of the IPM a

12、nd DSP control section. The combination of these two parts so that the power of simple structure, excellent performance. And the DSP control system for the various parts of the software design, gives the corresponding software flow chart.KEYWARDS:inverter,spwm,tms320lf2407a,ipmIII安徽理工大學(xué)畢業(yè)設(shè)計目錄摘要(中文)I

13、摘要(外文)II1.緒論11.1引言11.2逆變器的現(xiàn)狀及發(fā)展趨勢11.2.1逆變器的現(xiàn)狀11.2.2逆變器的發(fā)展趨勢21.3主要內(nèi)容和章節(jié)安排42.逆變系統(tǒng)基本結(jié)構(gòu)及控制策略52.1現(xiàn)代逆變系統(tǒng)基本結(jié)構(gòu)52.2 SPWM控制技術(shù)及其原理62.2.1逆變系統(tǒng)的原理62.2.2 SPWM控制基礎(chǔ)72.2.3 PWM波形的基本原理82.3 SPWM采樣方法對比分析92.4 SPWM控制方式分析132.4.1單極性SPWM控制方式132.4.2雙極性SPWM控制方式142.4.3單極性和雙極性調(diào)制比較152.5使用DSP實現(xiàn)SPWM波152.5.1 DSP的事件(EV)管理器模塊介紹152.5.2

14、DSP中斷及中斷向量192.5.3 DSP控制三相SPWM波形產(chǎn)生原理分析193.基于DSP系統(tǒng)的硬件設(shè)計與研究223.1三相逆變電源主電路結(jié)構(gòu)的比較分析223.2基于DSP系統(tǒng)的逆變電源硬件結(jié)構(gòu)設(shè)計223.2.1硬件結(jié)構(gòu)圖223.2.2整流、濾波電路的設(shè)計233.2.3輸出濾波電路的設(shè)計243.3智能功率模塊IPM的設(shè)計253.3.1 智能功率模塊IPM的介紹253.3.2 IPM模塊的選擇263.3.3 DSP與IPM的連接電路273.4 DSP控制電路的設(shè)計283.4.1 DSP芯片的特點與選取283.4.2以TMS320LF2407A為核心的控制電路設(shè)計293.4.3電源電路的設(shè)計33

15、3.5硬件系統(tǒng)的優(yōu)化設(shè)計344.逆變電源的軟件設(shè)計354.1 DSP系統(tǒng)軟件設(shè)計354.1.1系統(tǒng)主程序設(shè)計354.1.2初始化模塊364.1.3利用查表法生產(chǎn)SPWM波374.1.4故障中斷處理程序的設(shè)計374.2初始化程序設(shè)計384.3軟件系統(tǒng)的優(yōu)化設(shè)計39結(jié)論41參考文獻42致謝44ii安徽理工大學(xué)畢業(yè)設(shè)計1.緒論1.1引言逆變電源技術(shù)出現(xiàn)于20世紀60年代,是電力電子技術(shù)中的一個重要組成部分,綜合了現(xiàn)代電力電子開關(guān)器件應(yīng)用、功率變換、模擬數(shù)字電子技術(shù)、PWM技術(shù)以及控制技術(shù)等多門學(xué)科的實用技術(shù)。隨著信息技術(shù)的發(fā)展,逆變電源越來越廣泛地應(yīng)用于各個領(lǐng)域,早期的逆變電源,只需要其輸出不斷電,

16、穩(wěn)壓、穩(wěn)頻即可。然而,今天的逆變電源除這些要求外,還必須環(huán)保無污染,即綠色環(huán)保逆變電源。高性能的逆變電源必須滿足:高輸入功率因數(shù),低輸出阻抗;快速的暫態(tài)響應(yīng),穩(wěn)態(tài)精度高;穩(wěn)定性高,效率高,可靠性高;完善的網(wǎng)絡(luò)功能;智能化;低的電磁干擾。顯然這些要求的實現(xiàn)都離不開數(shù)字化控制技術(shù)。傳統(tǒng)的逆變電源采用模擬電路控制,但模擬控制存在許多固有的缺點:(1)因采用大量分散元件和電路板導(dǎo)致硬件成本偏高,系統(tǒng)可靠性下降;(2)由于人工調(diào)試器件的存在,導(dǎo)致生產(chǎn)效率降低及控制系統(tǒng)一致性差;(3)器件老化及熱漂移問題存在導(dǎo)致逆變電源輸出性能下降,甚至導(dǎo)致輸出失??;(4)產(chǎn)品升級換代困難,每一個新型逆變電源都要求重新設(shè)

17、計、制造控制系統(tǒng);(5)模擬控制的逆變電源監(jiān)控功能有限,一旦出現(xiàn)故障,要想恢復(fù)正常,技術(shù)人員必須親赴現(xiàn)場。但是由于微處理器的速度問題,逆變電源的控制仍然采用模擬電路進行。數(shù)字化、網(wǎng)絡(luò)化已經(jīng)成為信息社會的主流。隨著高性能的DSP控制器的出現(xiàn),逆變電源的全數(shù)字控制成為現(xiàn)實。DSP能夠?qū)崟r地讀取逆變電源的輸出,并實時地計算出PWM輸出值,使得一些先進的控制策略應(yīng)用于逆變電源的控制成為可能。可對于逆變電源大量非線性電子負載動態(tài)變化產(chǎn)生的諧波,進行動態(tài)的補償從而使得輸出諧波達到可接受的水平。1.2逆變器的現(xiàn)狀及發(fā)展趨勢1.2.1逆變器的現(xiàn)狀電源系統(tǒng)是現(xiàn)代電子設(shè)備不可或缺的重要組成部分。隨著電力電子技術(shù)的

18、飛速發(fā)展和各行各業(yè)對電氣設(shè)備控制性能要求的提高,逆變技術(shù)在許多領(lǐng)域的應(yīng)用也越來越廣泛,對電源性能的要求越來越高。主要表現(xiàn)出以下幾種趨勢:高頻化;模塊化;數(shù)字化;綠色化。對于逆變電源以上的要求,DSP的出現(xiàn)加快了該趨勢的發(fā)展。由于DSP使得芯片功能得到大大的加強,它特點在于采用并行體系的哈佛結(jié)構(gòu),增強了數(shù)據(jù)吞吐能力;流水線減少了指令執(zhí)行時間;專用硬件乘法器;特殊DSP指令;快速的指令執(zhí)行周期,最快的已經(jīng)達到20ns以下,為通常微處理器芯片數(shù)據(jù)處理速度的十倍以上。正因為DSP的強大、高速數(shù)據(jù)處理功能,逆變電源的數(shù)字化產(chǎn)品才越來越受到用戶的青睞。采用DSP控制的逆變電源系統(tǒng)主要有以下的優(yōu)點:(1)系

19、統(tǒng)可以采用先進的控制方法和智能控制策略,使得逆變器的智能化程度更高,性能更加完善;(2)控制靈活、系統(tǒng)升級方便,甚至可以在線修改控制算法,而不必對硬件電路做改動,這給逆變器系統(tǒng)的開發(fā)帶來了很大的方便,即系統(tǒng)升級更新?lián)Q代所需的周期短,成本低,而且維護起來也很方便;(3)減少控制元件數(shù)量,提高系統(tǒng)抗干擾能力;(4)控制系統(tǒng)的可靠性提高,易于標準化;(5)系統(tǒng)維護方便。系統(tǒng)一旦出現(xiàn)故障,通過接口進行調(diào)試即可,而且可以通過查詢歷史記錄來進行修復(fù);(6)系統(tǒng)一致性好,成本低,生產(chǎn)制造方便;(7)易于組成并聯(lián)運行系統(tǒng)。1.2.2逆變器的發(fā)展趨勢影響逆變技術(shù)未來發(fā)展的主要因素是:(1)PWM軟開關(guān)技術(shù):(2

20、)數(shù)字化控制技術(shù)。(1)PWM軟開關(guān)技術(shù)逆變器的脈寬調(diào)制(PWM)技術(shù)早在晶閘管時代就已經(jīng)出現(xiàn)了,正弦脈寬調(diào)制(SPWM)在全控型器件出現(xiàn)以后得到了迅速的發(fā)展,這種技術(shù)是用一種參考波(通常是正弦波,有時也用階梯波或方波等)為“調(diào)制波”,而以N倍于調(diào)制波頻率的正三角波或鋸齒波為“載波。由于正三角波或鋸齒波的上下寬度是線性變化的波形,因此它與調(diào)制波相交時,就可以得到一組幅值相等,而寬度正比于調(diào)制波函數(shù)值的矩形脈沖序列來等效調(diào)制波。用開關(guān)量取代模擬量,并通過對逆變器開關(guān)管的通斷控制,把直流電變成交流電。因為當調(diào)制波為正弦波時,輸出矩形脈沖序列的脈沖寬度按正弦函數(shù)規(guī)律變化,因此,這種調(diào)制技術(shù)通常又稱為

21、正弦脈寬調(diào)制(SPWM)技術(shù)。隨著大功率高頻全控開關(guān)器件大量出現(xiàn),逆變器的PWM控制技術(shù)受到了人們的高度重視并且得到了飛速的發(fā)展。尤其是最近幾年,微處理器用于實現(xiàn)PWM控制技術(shù)后,使得現(xiàn)代控制理論的控制方法能夠應(yīng)用于逆變器的PWM控制,大大提高了現(xiàn)代逆變器的性能。而且由于采用了數(shù)字電路實現(xiàn)PWM控制,使得逆變器的控制電路簡化,穩(wěn)定性提高,逆變器的數(shù)字化控制已成為逆變器發(fā)展的主流。PWM軟開關(guān)逆變技術(shù)是當今電力電子學(xué)領(lǐng)域最活躍的研究內(nèi)容之一,是實現(xiàn)電力電子技術(shù)高頻化的最佳途徑,也是一項理論性很強的研究工作。它的研究對于逆變器性能的提高和進一步推廣應(yīng)用,以及對電力電子學(xué)技術(shù)的發(fā)展,都有十分重要的意

22、義,是當前逆變器的發(fā)展方向之一。但這里必須指出,軟開關(guān)并不是沒有損耗的,它只是把開關(guān)器件本身的一部分開關(guān)損耗轉(zhuǎn)移到了為實現(xiàn)軟開關(guān)而附加的諧振電路中的諧振元件上,總量上可能有所減少。軟開關(guān)逆變技術(shù)研究的重要目的之一是實現(xiàn)PWM軟開關(guān)技術(shù),也就是將軟開關(guān)技術(shù)引進到PWM逆變器中,使它既能保持原來的優(yōu)點,又能實現(xiàn)軟開關(guān)工作。為此,必須把LC與開關(guān)器件組成一個諧振網(wǎng)絡(luò),使PWM逆變器只有在開關(guān)切換過程中才產(chǎn)生諧振,實現(xiàn)開關(guān)的零電壓開通和關(guān)斷,一般工作情況下則不發(fā)生諧振,以保持PWM逆變器工作特點。(2)數(shù)字化控制技術(shù)逆變電源的數(shù)字化并不是簡單地指在系統(tǒng)中應(yīng)用了數(shù)字器件,如單片機及FPGA等,而是指整個

23、系統(tǒng)的控制都由數(shù)字器件(主要指微處理器)的計算算法和控制算法實現(xiàn),極大地簡化了硬件電路,提高了系統(tǒng)的穩(wěn)定性、可靠性和控制精度,這是現(xiàn)代逆變技術(shù)發(fā)展的趨勢。與數(shù)字化相適應(yīng),各種各樣的逆變電源離散控制方法紛紛涌現(xiàn),包括數(shù)字PID控制、無差拍控制、數(shù)字滑變結(jié)構(gòu)控制、模糊控制、神經(jīng)網(wǎng)絡(luò)控制等,有力地推動逆變電源控制技術(shù)的發(fā)展。下面簡述逆變電源的主要控制策略:PD控制是一種傳統(tǒng)控制方法,由于其算法簡單成熟,設(shè)計過程中不過分依賴系統(tǒng)參數(shù),魯棒性好、可靠性高,在模擬控制的正弦波逆變電源系統(tǒng)中得到了廣泛的應(yīng)用。PID控制算法具有較快的動、靜態(tài)響應(yīng)特性。無差拍控制是一種基于精確的PWM逆變器模型的控制方法,它主

24、要是實現(xiàn)系統(tǒng)的零極點對消。1959年是由Kalmal首先提出的。1985年,Gokhale在PESC年會上提出將無差拍控制應(yīng)用于逆變器控制。此控制方法一旦系統(tǒng)參數(shù)發(fā)生變化或系統(tǒng)模型建立不準確,系統(tǒng)將會出現(xiàn)振蕩,空載時振蕩尤其嚴重。狀態(tài)反饋控制是由臺灣鄒應(yīng)嶼等人于1944年提出的。此控制方法的數(shù)學(xué)模型與無差拍控制的一樣,一般是根椐時域指標提出一組期望的極點,通過對反饋增益矩陣的設(shè)計,使閉環(huán)系統(tǒng)的極點恰好處于根平面上所期望的位置,以獲得期望的動態(tài)特性即所謂的極點配置問題。此控制方法可實現(xiàn)系統(tǒng)極點的配置,所以克服了無差拍控制空載時振蕩的缺點,逆變器工作在一種穩(wěn)定狀態(tài)。重復(fù)控制是一種十分有效的波形校正

25、技術(shù),是基于內(nèi)模原理的控制技術(shù)。此控制方法近年來在UPS逆變電源的波形控制中得到應(yīng)用并獲得了良好的控制效果。它對于消除非線性負載及其它周期性干擾引起的波形畸變,具有非常明顯的效果。系統(tǒng)穩(wěn)定性和魯棒性都很好,但是由于存在一個周期輪空不調(diào),系統(tǒng)動態(tài)特性較差?;W兘Y(jié)構(gòu)控制理論始于五十年代,實質(zhì)上是一種非連續(xù)的開關(guān)控制方法,它強迫系統(tǒng)的跟蹤誤差及其導(dǎo)數(shù)運行于相平面一條固定的滑模曲線上,與系統(tǒng)參數(shù)變動及外部擾動無關(guān),因此系統(tǒng)有極強的魯棒性。但是,變結(jié)構(gòu)控制中存在抖動問題,使得波形跟蹤質(zhì)量較差,輸出波形不及重復(fù)控制和無差拍控制。模糊控制,主要是模糊PID控制,是為了解決傳統(tǒng)PID控制魯棒性差的問題而提出

26、的一種智能控制策略。它首先將輸入的精確量(一般為跟蹤誤差及其導(dǎo)數(shù))轉(zhuǎn)換為模糊量,然后根據(jù)專家經(jīng)驗總結(jié)的語言規(guī)則進行模糊推理,根據(jù)推理結(jié)果確定當前情況下最適合的PD控制器參數(shù),能改善系統(tǒng)對非線性負載的適應(yīng)能力。神經(jīng)網(wǎng)絡(luò)控制是近幾年來興起的一種智能控制方式,90年代初,日本的Yoshihisa等人將人工神經(jīng)網(wǎng)絡(luò)技術(shù)應(yīng)用到逆變器中,構(gòu)成一個數(shù)字電流調(diào)節(jié)器。1999年,香港大學(xué)的Xiao Sun及浙大的Frank H.F Leung等人將神經(jīng)網(wǎng)絡(luò)技術(shù)應(yīng)用到逆變器輸出波形控制上。但是由于學(xué)習(xí)清況比較復(fù)雜,該方法目前僅限于實驗室階段。數(shù)字控制變換器在實際使用中還存在許多待解決的問題,例如:變換器開關(guān)動作對

27、采樣的嚴重干擾;檢測的量化誤差導(dǎo)致控制精度顯著下降;高速運行下數(shù)字化脈寬調(diào)制時間分辨率的下降;開關(guān)功率變換器數(shù)字化的數(shù)學(xué)模型研究不夠深入等。因此,逆變器的數(shù)字控制技術(shù)仍處于不斷改進完善的過程中,仍然是逆變電源領(lǐng)域中的關(guān)鍵研究內(nèi)容。1.3主要內(nèi)容和章節(jié)安排本文的重點是設(shè)計一個基于DSP控制的低成本、多功能、易維護、升級方便的三相逆變電源系統(tǒng)。第一章為緒論部分,引入了逆變電源的概念,并論述了逆變電源結(jié)構(gòu)和控制技術(shù)的現(xiàn)狀及發(fā)展趨勢。第二章深入研究了SPWM控制原理與模式,并針對諧波問題,采用優(yōu)良的SPWM波形采樣方案,使其諧波含量大大降低。第三章具體設(shè)計和實現(xiàn)了基于TMS320LF2407A芯片的數(shù)

28、字逆變電源硬件電路。第四章具體介紹基于TMS320LF2407A芯片的數(shù)字逆變電源軟件系統(tǒng)及系統(tǒng)流程圖的設(shè)計。最后對整篇論文進行了總結(jié)。2.逆變系統(tǒng)基本結(jié)構(gòu)及控制策略2.1現(xiàn)代逆變系統(tǒng)基本結(jié)構(gòu)逆變的直接功能是將直流電變換成交流電。逆變系統(tǒng)的核心就是逆變開關(guān)電路,或者叫逆變電路,通過電力電子開關(guān)的導(dǎo)通與關(guān)斷,完成逆變的功能。電力電子開關(guān)器件的通斷,需要一定的驅(qū)動脈沖,這些脈沖可以通過改變一個電壓信號來調(diào)節(jié),產(chǎn)生和調(diào)節(jié)脈沖的電路通常稱為控制電路(或控制回路)。逆變電路中,除了逆變電路和控制電路之外,還要有保護電路、輔助電源、輸入電路、輸出電路等等。下面對各個部分做一些簡單介紹:(1)輸入電路逆變主

29、電路輸入為直流電,若是直流電網(wǎng)(如煤礦,礦山,電車等)、蓄電池貯存的電,或者是直流發(fā)電機發(fā)出的電,或者直流電動機和變頻調(diào)速交流電動機制動時再生直流電,則輸入電路包括濾波電路和EMI對策電路。若是交流電網(wǎng),除了濾波和EMI對策電路外,首先還要有整流電路。(2)輸出電路輸出電路一般都包括輸出濾波電路和EMI對策電路,對直流輸出的逆變系統(tǒng)還包括輸出整流電路。對隔離式逆變器,在輸出電路的前面還有逆變變壓器。對于開環(huán)控制的逆變系統(tǒng),輸出量不用反饋到控制電路,而對于閉環(huán)控制逆變系統(tǒng),輸出量還要反饋到控制電路。(3)控制電路控制電路的功能是按要求產(chǎn)生和調(diào)節(jié)一系列的控制脈沖來控制逆變開關(guān)管的一導(dǎo)通和關(guān)斷,從而

30、配合逆變電路完成逆變功能。在逆變系統(tǒng)中,控制電路和逆變主電路同樣重要。(4)輔助電源和保護電路輔助電源的功能是將逆變器的輸入電壓變換成適合控制電路工作的直流電壓。若是直流輸入,則是一個或幾個DC/DC變換器:若是交流輸入,則可以采用工頻降壓、整流、線性穩(wěn)壓的方式,當然也可以采用DC/DC變換器。保護電路主要包括:輸入過壓、欠壓保護。因為是電網(wǎng)問題,一般是可以自恢復(fù)的。輸出過壓、欠壓保護。一般是故障問題,最好是不可自恢復(fù)。過載保護。有時是瞬間過載,所以應(yīng)是可自恢復(fù)的。過流和短路保護。屬于故障,所以應(yīng)該是不可自恢復(fù)的。過熱保護。當環(huán)境溫度過高或長時間超負荷運行,逆變器會出現(xiàn)過熱自動保護,但冷卻系統(tǒng)

31、應(yīng)繼續(xù)工作,在溫度降到一定值后,應(yīng)能自動恢復(fù)工作。(5)逆變主電路逆變主電路就是由逆變開關(guān)器件等組成的變換電路,分為非隔離式和隔離式兩大類。如變頻器、能量回饋等都是非隔離的,逆變焊接電源、通信基礎(chǔ)開關(guān)電源、UPS、加熱電源等都是隔離式逆變電路。隔離式逆變主電路還應(yīng)包括逆變變壓器。非隔離式電壓變換電路形式有多種,是組成逆變主電路的基本形式,用它們也可以組成各種隔離式逆交主電路。2.2 SPWM控制技術(shù)及其原理2.2.1逆變系統(tǒng)的原理本文所研究的電源是為了在輸出得到穩(wěn)壓恒頻的交流電壓信號,故采用電壓型逆變電路。在同一直流電壓輸入情況下,全橋逆變電路輸出電壓是半橋逆變電路輸出電壓的二倍,故文中逆變電

32、源逆變器部分采用全橋逆變電路。下面介紹電壓型全橋逆變電路:電路原理圖見圖2-1。圖2-1電壓型全橋逆變電路它共有四個橋臂,可以看成由兩個半橋電路組合而成。把橋臂1和4作為一對,橋臂2和3作為另一對,成對的兩個橋臂同時導(dǎo)通,兩對交替各導(dǎo)通180,即1、4導(dǎo)通時關(guān)斷2、3;2、3導(dǎo)通時,關(guān)斷1、4。負載為阻感負載時,其輸出波形如圖2-2所示。圖中VDl,Vl,VD2,V2相繼導(dǎo)通的區(qū)間,分別對應(yīng)與圖中的VDl和VD4,Vl和V4,VD2和VD3,V2和V3相繼導(dǎo)通的區(qū)間。值得注意的是,功率管的驅(qū)動信號雖然為180互補驅(qū)動模式,但是功率管的實際導(dǎo)通角則與負載電流-電壓相位角有關(guān)。當負載為純阻性負載即

33、逆變器的輸出電流、電壓相位角為零時,在電壓正半周功率管VT1、VT4導(dǎo)通,而在電壓負半周功率管VT3、VT2導(dǎo)通,即逆變器中的續(xù)流二極管不工作;而當負載電流、電壓相位角不為零時,在電流正半周功率管由兩種導(dǎo)通組合,即電壓正半周時VT1、VT4導(dǎo)通或電壓負半周時VD2、VD3導(dǎo)通,在電流負半周功率管也相應(yīng)由兩種導(dǎo)通組合,即電壓負半周時VT2、VT3導(dǎo)通或電壓正半周時VD1、VD4導(dǎo)通,顯然當負載電流、電壓相位角不為零時續(xù)流二極管工作,以緩沖負載與逆變器直流側(cè)電容間的無功能量交換。圖2-2電壓型全橋逆變電路輸出波形對其電壓波形進行定量分析,把幅值為Ud的矩形波U0展開成傅立葉級數(shù)得:u0=n=1,3

34、,54Udsinnt (2-1)其中基波的幅值U01m和基波有效值U1分別為u01m=4Ud=1.27Ud (2-2)U1=22Ud=0.9Ud (2-3)于是由逆變原理可知,如果控制IGBT的開通與關(guān)斷的頻率,那么輸出電壓的頻率和IGBT的開關(guān)頻率便存在一定的對應(yīng)關(guān)系:控制IGBT的開通與關(guān)斷的占空比,那么輸出電壓的有效值也和IGBT的開關(guān)占空比便存在一定的對應(yīng)關(guān)系,因此產(chǎn)生精確控制IGBT開關(guān)驅(qū)動信號SPWM便成為了本文研究的重點。2.2.2 SPWM控制基礎(chǔ)在分析SPWM之前,必須要了解PWM(Pulse Width Modulation,PWM)及其相關(guān)知識,這是通過對一系列脈沖的寬度

35、進行調(diào)制來等效地獲得所需波形包含形狀和幅值)的。(1)沖量沖量(指窄脈沖的面積)相等而形狀不同的窄脈沖加在具有慣性的環(huán)節(jié)上時,其效果基本相同,即具有慣性環(huán)節(jié)的輸出響應(yīng)波形基本相同(低頻段非常接近,僅在高頻段略有差異),如圖2-3所示,其中u(t)為電路的輸入信號,i(t)為輸出信號。t圖2-3 沖量相同的各種窄脈沖的響應(yīng)波形(2)面積等效原理在采樣控制理論中有一個重要的結(jié)論:沖量相等而形狀不同的窄脈沖加在具有慣性的環(huán)節(jié)上時,其效果基本相同。沖量即是窄脈沖的面積。這里所說的效果基本相同是指環(huán)節(jié)的輸出響應(yīng)波形基本相同。如果把各輸出波形用傅立葉變換分析,則其低頻段非常接近,僅在高頻段略有差異。例如圖

36、2-4中a,b,c所示的三個窄脈沖形狀不同,但它們的面積(即沖量)都等于1,那么,當它們分別加在具有慣性的同一個環(huán)節(jié)時,其輸出響應(yīng)基本相同。上述被稱為面積等效原理,它是PWM控制技術(shù)的重要理論基礎(chǔ)。圖2-4 形狀不同而沖量相同的各種窄脈沖2.2.3 PWM波形的基本原理逆變器的種類很多,各自的具體工作原理、工作過程不盡相同,但是最基本的逆變過程是相同的。下面以最簡單的單相橋式逆變電路為例,具體說明逆變器的“逆變”過程。單相橋式逆變原理見圖2-5(a)。該圖中輸入直流電壓為E,R代表逆變器的純電阻性負載。當開關(guān)S1,S4接通后,電流流過S1,R和S4時,負載上的電壓極性是左正右負;當開關(guān)S1,S

37、4斷開,S2,S3接通后,電流流過S2, R和S3,負載上的電壓極性反向。若兩組開關(guān)S1,S4,S2,S3以頻率f交替切換工作時,負載R上便可得到頻率為f的交變電壓U0,其波形見圖2-5(b),該波形為一方波,其周期T=1/f。圖示的電路和波形只是逆變過程基本原理的示意描述,實際上要構(gòu)成一臺實用型逆變器,還需要增加許多重要功能電路和輔助電路。 (b)(a)圖2-5 單相橋式逆變電路及其輸出波形單相正弦逆變電源中,逆變器要把市電經(jīng)整流濾波后得到的直流電或者由蓄電池提供的直流電,重新轉(zhuǎn)化為頻率非常穩(wěn)定,穩(wěn)定電壓受負載影響小的,波形畸變因數(shù)滿足負載要求的交流正弦波。2.3 SPWM采樣方法對比分析近

38、年來,正弦脈寬調(diào)制(SPWM)技術(shù)以其優(yōu)良的傳輸特性成為電力電子裝置中調(diào)制技術(shù)的基本方式。采櫸實現(xiàn)SPWM調(diào)制方式可分為自然采樣法、對稱規(guī)則采樣法和不對稱規(guī)劃采樣法三種。下面對這幾種方法律簡要的分析:(1)自然采樣法。自然采樣法原理如圖2-6所示,用一個基波正弦波與一個三角載波相比較,由兩者的交點確定逆變器開關(guān)模式。圖2-6 自然采樣法圖2-6中,為三角波的周期,為三角波的幅值,正弦波為,稱為采樣周期,,及為正弦波與三角波兩個相鄰交點的時刻。由圖2-5可知 (2-4)式(2-4)中,為調(diào)制度,(即為正弦波幅值與三角波幅值之比)0uc時,VT4導(dǎo)通,VT3關(guān)斷,Uo=Ud;當uruc時,VT4關(guān)

39、斷,VT3導(dǎo)通,Uo=0。反之,在ur處于負半周期間,VT1保持關(guān)斷,VT2保持導(dǎo)通。當uruc時,VT3關(guān)斷,VT4導(dǎo)通,Uo=0。單極性SPWM控制由于采用了單極性三角載波調(diào)制,從而使控制信號的發(fā)生變得較為復(fù)雜,因而很少采用。ttUoUd-UdOOuruc圖2-10單極性SPWM控制方式波形2.4.2雙極性SPWM控制方式所謂雙極性SPWM控制是指逆變器的輸出脈沖具有雙極性的特征。即無論輸出正、負半周,輸出脈沖全為正、負極性跳變的雙極性脈沖。當采用基于三角載波調(diào)制的雙極性SPWM控制時,只需要采用正、負對稱的雙極性三角載波即可。當正弦調(diào)制波信號瞬時值大于三角載波信號瞬時值時,比較器的輸出極

40、性為正,VT1、VT4導(dǎo)通有效,而VT2、VT3關(guān)斷有效,即VT1、VT4導(dǎo)通或VD1、VD4續(xù)流導(dǎo)通;同時,VT2(VD2)、VT3(VD3)關(guān)斷,此時,逆變器輸出為正極性的SPWM電壓脈沖。同理,當正弦調(diào)制波信號瞬時值小于三角載波信號瞬時值時,比較器的輸出極性為負,VT2、VT3導(dǎo)通有效,而VT1、VT4關(guān)斷有效,即VT2、VT3導(dǎo)通或VD2、VD3續(xù)流導(dǎo)通;同時,VT2(VD2)、VT3(VD3)關(guān)斷,此時,逆變器輸出為負極性的SPWM電壓脈沖。雙極性SPWM控制的調(diào)制及逆變器的輸出波形如圖2-11所示。 uructtOOuabui-ui圖2-11雙極性SPWM控制方式波形2.4.3單極

41、性和雙極性調(diào)制比較單極性調(diào)制SPWM與雙極性調(diào)制SPWM相比,載波為全三角波的單極性調(diào)制SPWM波形的優(yōu)點是開關(guān)頻率是載波頻率的兩倍似極性則相等,即有倍頻的作用,易于濾波,并且每次開關(guān)管開通或關(guān)斷時,電壓跳動幅度減小為雙極性調(diào)制SPWM的一半。另外,對于m=2的情況,對同樣的調(diào)制深度M,單極性調(diào)制SPWM波的諧波幅值明顯比雙極性調(diào)制SPWM波幅值小。因此,單極性調(diào)制SPWM波能更好的消除諧波。綜上所述,本系統(tǒng)采用的是以不對稱規(guī)則采樣法為基礎(chǔ)實現(xiàn)的單極性SPWM控制。2.5使用DSP實現(xiàn)SPWM波2.5.1 DSP的事件(EV)管理器模塊介紹傳統(tǒng)的產(chǎn)生SPWM波形的方法能夠用于逆變器中實現(xiàn)幅度和

42、頻率可調(diào)的正弦波電壓。當負載為線性時效果還好。但是當該逆變器帶非線性負載時,電壓將發(fā)生畸變,諧波增加,嚴重影響負載的正常工作。DSP是一款高性能的數(shù)字處理芯片,它不僅運算速度快,還有專門用于實現(xiàn)PWM的片內(nèi)外設(shè)。通過應(yīng)用DSP我們可以方便的實現(xiàn)頻率很高的SPWM控制信號,從而減小濾波器的尺寸。而且DSP完全有可能用于逆變器中實現(xiàn)輸出電壓進行逐點的控制。本文采用美國德州儀器公司(TI)新近推出的一種TMS320LF2407A數(shù)字信號處理器,作為逆變電源中的核心控制部分進行研究。以實現(xiàn)所研制的逆變裝置能輸出標準的正弦交流電。TMS320LF2407A包括兩個事件管理模塊EVA和EVB,每個事件管理

43、器模塊包括通用定時(GP)、比較單元、捕獲單元以及正交編碼脈沖電路。EVA和EVB的定時器、比較單元以及捕獲單元的功能都相同,只是定時器和單元的名稱不同。事件管理模塊EVA和EVB有相同的外設(shè)寄存器,不同的程序起止地址。如表2-1所示,為事件管理模塊EVA/EVB及其信號名稱。表2-1事件管理模塊EVA/EVB及其信號名稱事件管理模塊EVA模塊信號EVB模塊信號GP定時器Timer1Timer2TlPWM/TlCMPT2PWM/T2CMPTimer 3Timer 4T3PWM/T3CMPT4PWM/T4CMP比較單元Compare 1Compare 2Compare 3PWM1/2PWM3/4

44、PWM5/6Compare 4Compare 5Compare 6PWM7/8PWM9/l0PWM11/12捕獲單元Capture 1Capture 2Capture 3CAP 1CAP 2CAP 3Capture 4Capture 5Capture 6CAP 4CAP 5CAP 6正交編碼脈沖電路(Q EP)OEP 1QEP 2QEP 1QEP 2QEP 3QEP 4QEP 3QEP 4外部輸入計數(shù)方向外部時鐘TDIRATCLKINA計數(shù)方向外部時鐘TDIRB.TCLKINB資料來源:汪安民,張松燦,常春藤M.北京:人民郵電出版社,2008.4(DSP技術(shù)實用叢書)EV模塊是形成SPWM波形

45、的關(guān)鍵,本文采用的是EVB產(chǎn)生SPWM波形信號。針對本系統(tǒng),就EV中幾個重要組成部分進行說明。定時器是事件管理器的核心模塊。TMS320LF2407A的定時器有如下功能:作為常規(guī)的定時/計數(shù)器使用;用于在TXPWM引腳上輸出頻率和脈寬可調(diào)的PWM波;與捕捉模塊結(jié)合測量CAPx引腳上的脈寬;定時器3與比較模塊配合產(chǎn)生死區(qū)可調(diào)的6個PWM控制信號:啟動AD轉(zhuǎn)換。它的工作方式有4種:停止/保持模式、連續(xù)增計數(shù)模式、定向增/減計數(shù)模式、連續(xù)增/減計數(shù)模式。采用連續(xù)增/減計數(shù)方式工作時,產(chǎn)生對稱的SPWM波,其工作過程如下:計數(shù)器的值由初值開始向上增計數(shù),當?shù)竭_寄存器T3PR值時,開始遞減計數(shù),直至計數(shù)

46、器的值為零時(進入中斷服務(wù)程序)又重新向上增計數(shù),如此循環(huán)往復(fù)。在計數(shù)器計數(shù)的過程中,計數(shù)器的值都與比較寄存器CMPRx(x=4,5,6)的值作比較,當計數(shù)器的值與其相對應(yīng)的比較寄存器的值相等發(fā)生匹配,則對應(yīng)的該相方波輸出發(fā)生電平翻轉(zhuǎn)。在每個載波周期內(nèi),輸出的方波將發(fā)生兩次電平翻轉(zhuǎn)。只要在每個三角波載波周期根據(jù)在線計算改寫比較寄存器CMPRx的值,就可實時地改變脈沖的占空比,得到完整周期的SPWM脈沖。對每個脈沖相對于載波周期的占空比的計算是在定時器3的下溢中斷服務(wù)子程序中完成的。每個事件管理模塊有兩個通用可編程定時器(GP)。定時器x(x=1,2對EVA;x=3,4,對EVB)包括:一個16

47、位的定時器增/減的計算器,可讀寫;一個16位的定時器比較寄存器,可讀寫;一個16位的定時器周期寄存器,可讀寫;一個16位的定時器控制寄存器,可讀寫;可選擇的內(nèi)部或外部輸入時鐘;用于內(nèi)部或外部時鐘輸入的可編程的預(yù)定標器;控制和中段邏輯的用于4個可屏蔽的中斷下溢、溢出、定時器比較和周期中斷可選擇方向的輸入引腳。通用定時器的輸入有:內(nèi)部CPU時鐘、外部時鐘TCLKINA/B,最大頻率是CPU時鐘的1/4、方向輸入TDIRA/B,控制通用定時器增/減計數(shù)、復(fù)位。通用定時器的輸出:通用定時器比較輸出、至ADC模塊的模數(shù)轉(zhuǎn)化啟動信號、比較邏輯和比較單元的下溢、上溢、比較匹配和周期匹配信號、技術(shù)方向指示位。

48、1.比較單元時間管理器(EVA)模塊中有3個全比較單元(比較單元1,2和3),每個模塊的比較單元包括:3個16位的比較寄存器,他們各帶一個可讀/寫的影子寄存器;一個可讀/寫的比較控制寄存器(COMCONA對于EVA模塊,COMCONB對于EVB模塊);一個16位的比較方式控制寄存器;6個比較PWM(三態(tài))輸出引腳;控制和中斷邏輯。其結(jié)構(gòu)如圖2-12所示。圖2-12比較單元結(jié)構(gòu)框圖比較輸入包括:控制寄存器的控制信號、通用定時器1和3及他們的下溢和周期匹配信號、復(fù)位信號。比較操作模式有比較寄存器(COMCONx)決定,通用定時器1的計數(shù)器不斷與比較寄存器的值進行比較,當發(fā)生匹配時,比較單元的兩個輸

49、出將根據(jù)方式控制寄存器(ACTRA)中的位進行跳變。ACTRA寄存器中的位可以分別確定在比較匹配是每個輸出為高有效觸發(fā)(如果沒有強制高與低)。當通用定時器1的計數(shù)器和比較單元的比較寄存器之間發(fā)生匹配且比較使能時,比較單元的比較中斷寄存器將被置位。如果中斷不屏蔽,則產(chǎn)生外設(shè)中斷請求信號。輸出跳變的時序、中斷標志位的設(shè)置和中斷請求的產(chǎn)生都與通用定時器的比較操作相同。俗呼出邏輯、死區(qū)單元和空間矢量PWM單元可改變比較單元在比較模式下的輸出。2.脈寬調(diào)制電路每個事件管理模塊可同時產(chǎn)生多達8路的PWM波形輸出,有3個帶殼變成控制的比較單元產(chǎn)生獨立的3對(即6個輸出),以及由GP定時器比較產(chǎn)生的2個獨立的

50、PWM輸出。PWM的特性如下:(1)16位寄存器;(2)有從0到16s的可編程死區(qū)發(fā)生器控制PWM輸出對;(3)最小的死區(qū)寬度為1個CPU時鐘周期;(4)對PWM頻率的變動可根據(jù)需要改變PWM的載波頻率;(5)在每個PWM周期內(nèi)以及之后可根據(jù)需要改變PWM脈沖的寬度;(6)外部可屏蔽的功率驅(qū)動保護中斷;(7)脈沖形式發(fā)生器電路,用于可編程的對稱、非對稱以及4個空間矢量PWM波形產(chǎn)生;自動重裝載的比較和周期寄存器使CPU的負擔最小。對于每個EV模塊,與比較單元相關(guān)的PWM電路使帶有可編程死區(qū)和輸出極性控制的6路PWM輸出的產(chǎn)生成為可能。PWM波形產(chǎn)生的原理如圖2-13所示,包括非對稱波形發(fā)生器、

51、可編程的死區(qū)單元(DBU)、輸出邏輯、空間矢量PWM狀態(tài)機。采用LF2407的事件管理器,使用其中的脈寬調(diào)制電路來產(chǎn)生正弦SPWM波形。利用LF2407的事件管理器EVB產(chǎn)生3對(6個)PWM波,這些PWM波的輸出為一組連續(xù)的幅值相等而寬度不等的矩形波,再通過相同的LC低通濾波器(也可以是RC低通濾波器)平滑濾波后,在輸出端產(chǎn)生一個正弦波形。通過以上分析知:軟件設(shè)定不一樣的CMPRx的時間值就可以得到不同寬度的脈沖,從而得到SPWM波形,CMPRx的裝載條件是周期匹配或計數(shù)器下溢匹配。圖2-13 PWM電路結(jié)構(gòu)框圖2.5.2 DSP中斷及中斷向量TMS320LF240 x系列DSP有豐富的中斷

52、資源,其內(nèi)核提供一個不可屏蔽的中斷NMI和6個按優(yōu)先級獲得服務(wù)的可屏蔽中斷INT1至INT6,采用集中化的中斷擴展設(shè)計來滿足大量的外設(shè)中斷需求,即這6個中斷級的每一個都可被很多外設(shè)中斷請求共享。DSP通過中斷請求系統(tǒng)中的一個兩級中斷來擴展系統(tǒng)可響應(yīng)的中斷個數(shù)。因此,DSP的中斷請求/應(yīng)答硬件邏輯和中斷服務(wù)程序軟件都是一個兩級的層次。在底層中斷,從幾個外設(shè)來的外設(shè)中斷請求(PIRQ)在中斷控制器處相或產(chǎn)生一個到CPU的中斷請求。在外設(shè)配置寄存器中,對每一個產(chǎn)生外設(shè)中斷請求的事件都有中斷使能位和中斷標志位。如果一個引起中斷的外設(shè)事件發(fā)生且相應(yīng)的中斷使能位被置1,則會產(chǎn)生一個從外設(shè)到中斷控制器的中斷

53、請求,這個中斷請求反映了外設(shè)中斷標志位的狀態(tài)和中斷使能位的狀態(tài),當中斷標志位被清0時,中斷請求也被清0。在高層中斷,被或的多個外設(shè)中斷請求產(chǎn)生一個到CPU的中斷(INTn)請求,到LF240 x的中斷請求信號是2個CPU時鐘脈沖寬的低電平脈沖。CPU總是響應(yīng)優(yōu)先級高的外設(shè)中斷請求。在CPU內(nèi)核,這些中斷標志在CPU響應(yīng)中斷時自動清0。當CPU接受中斷請求時,為了區(qū)別這些引起中斷的外設(shè)事件,在每個外設(shè)中斷請求有效時都會產(chǎn)生一個唯一的外設(shè)中斷向量,這個外設(shè)中斷向量被裝載到外設(shè)中斷向量寄存器(PIVR)里面。CPU應(yīng)答外設(shè)中斷時,從PIVR寄存器中讀取相應(yīng)中斷的向量,并產(chǎn)生一個轉(zhuǎn)到該中斷服務(wù)子程序入

54、口的向量。實際上DSP中斷有兩個向量表,CPU的向量表用來得到響應(yīng)CPU中斷請求的一級中斷服務(wù)子程序(GISR)。外設(shè)向量表用來得到響應(yīng)某一特定外設(shè)中斷服務(wù)子程序(SISR)。GISR中的程序代碼應(yīng)該讀出PIVR中的值,在保存必要的上下文之后,用PIVR中的值來產(chǎn)生一個轉(zhuǎn)移到SISR的向量。2.5.3 DSP控制三相SPWM波形產(chǎn)生原理分析三相SPWM控制波裝載示意圖如圖2-14所示,圖中CMPR4、CMPR5、CMPR6分別對應(yīng)于U、V、W三相。一個裝載周期內(nèi),一次就必須裝載此采樣點各相對應(yīng)的正弦值:CMPR4、CMPR5、CMPR6。通過這些值,可計算出各相的開關(guān)時間。圖2-14三相SPW

55、M控制波裝載示意圖由于三相逆變器中要求三相輸出電壓對稱,因此在一個裝載周期里面要裝載的值必須要有120的相位差。由于相位差120相當于一周的1/3,因此必須取載波比N為3的整數(shù)倍。具體體現(xiàn)為值的差異,對于同一個采樣點k,幅值為1的各相電壓采樣值為:(k=1,2,3,n) (2-16)由此,可得U、V、W三相的、和、表達式為:當k為偶數(shù)時,即頂點采樣時,U相有: (2-17)當k為奇數(shù)時,即底點采樣時,U相有: (2-18)同樣,可求得其他兩相的、值,由此可求出U、V、W三相的脈沖寬度。由于采樣周期Ts為三角載波周期的1/2,所以有如下關(guān)系成立: (2-19)調(diào)制度M與指令頻率f之間有確定的關(guān)系,可由所要求的U/f曲線來確定。預(yù)先算出制成表格,存放于ROM中,以便查找。同樣,可預(yù)先根據(jù)N值計算出幅值為1的正弦函數(shù)對應(yīng)于各個k點的取值,并把計算結(jié)果制成基準正弦

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!