九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

智能交通燈控制系統(tǒng)設(shè)計畢業(yè)論文.doc

上傳人:good****022 文檔編號:116782567 上傳時間:2022-07-06 格式:DOC 頁數(shù):28 大?。?30.01KB
收藏 版權(quán)申訴 舉報 下載
智能交通燈控制系統(tǒng)設(shè)計畢業(yè)論文.doc_第1頁
第1頁 / 共28頁
智能交通燈控制系統(tǒng)設(shè)計畢業(yè)論文.doc_第2頁
第2頁 / 共28頁
智能交通燈控制系統(tǒng)設(shè)計畢業(yè)論文.doc_第3頁
第3頁 / 共28頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《智能交通燈控制系統(tǒng)設(shè)計畢業(yè)論文.doc》由會員分享,可在線閱讀,更多相關(guān)《智能交通燈控制系統(tǒng)設(shè)計畢業(yè)論文.doc(28頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、泰 山 學(xué) 院 本科畢業(yè)論文(設(shè)計)智能交通燈控制系統(tǒng)設(shè)計所 在 學(xué) 院 物理與電子工程學(xué)院 專 業(yè) 名 稱 光信息科學(xué)與技術(shù) 年 級 二八級 學(xué)生姓名、學(xué)號 指導(dǎo)教師姓名、職稱 完 成 日 期 二一二年五月三十日 Abstract摘 要交通控制系統(tǒng)是近現(xiàn)代社會隨著物流、出行等交通發(fā)展產(chǎn)生的一套獨(dú)特的公共管理系統(tǒng)。要保證高效安全的交通秩序,除了制定一系列的交通規(guī)則,還必須通過一定的科技手段加以實現(xiàn)。本文在對目前交通控制進(jìn)行深入分析的基礎(chǔ)上,運(yùn)用檢測傳感、實時調(diào)整智能化控制的實現(xiàn)技術(shù),將傳感器監(jiān)測、實時調(diào)整車輛通行時間的算法與單片機(jī)控制作用相結(jié)合,提出了基于單片機(jī)的交通控制系統(tǒng)設(shè)計方案。8051

2、單片機(jī)的交通燈控制系統(tǒng)由8051單片機(jī)、交通燈顯示、LED倒計時、車流量檢測及調(diào)整、違規(guī)檢測、緊急處理、時間模式手動設(shè)置等模塊組成。系統(tǒng)除基本交通燈功能外,還具有通行時間手動設(shè)置、可倒計時顯示、急車強(qiáng)行通過、車流量檢測及調(diào)整、交通異常狀況判別及處理等相關(guān)功能。理論證明該系統(tǒng)能夠簡單、經(jīng)濟(jì)、有效地疏導(dǎo)交通,提高交通路口的通行能力。本設(shè)計主要做了如下幾方面的工作:一是確定系統(tǒng)交通控制的總體設(shè)計,包括,十字路口具體的通行禁行方案設(shè)計以及系統(tǒng)應(yīng)擁有的各項功能,二是進(jìn)行傳感器的硬件電路、顯示電路等的設(shè)計和基本功能要求。關(guān)鍵詞:交通控制,傳感檢測,AT89S51,倒計時顯示ABSTRACTTraffic

3、control system is a modern society with logistics, travel etc of traffic development a unique set of public management system. To ensure the effective safety traffic, except for a series of traffic rules, still must through certain technological means to achieve. Based on analysis of traffic control

4、, based on real-time detection sensor, adjust the implementation technology of intelligent control, real-time monitoring, sensor adjust vehicles time algorithm and single-chip microcomputer control function is proposed, which combines the traffic control system based on single chip design scheme.The

5、 8051 microcontroller control system consists of the traffic lights display, 8051 monolithic integrated circuits, and LED the countdown, traffic violation detection, emergency adjustment, manual mode, time as modules. In addition to the basic traffic function outside, still have time to manually set

6、, can pass the countdown, car that forced through traffic, inspection and adjustment, transportation and processing abnormal discriminant functions. Theory shows that the system can simple, economic and effective relieves traffic, improve the crossroads capacity.This design mainly do the following a

7、spects: one is the work of the traffic control system design, including the crossroads, specific design and system should be restricted with each function, two is that the sensor, the hardware circuit design of the circuit and the basic function and requirement. Key Words: Traffic control, Sensing d

8、etection, Display and countdown, AT89S51II泰山學(xué)院本科畢業(yè)論文(設(shè)計)1目錄1 引言11.1單片機(jī)交通控制系統(tǒng)的選題背景11.2單片機(jī)交通控制系統(tǒng)選題的現(xiàn)實意義11.3單片機(jī)交通控制系統(tǒng)主要研究的內(nèi)容22 單片機(jī)交通控制系統(tǒng)總體設(shè)計32.1單片機(jī)交通控制系統(tǒng)的通行方案設(shè)計32.2單片機(jī)交通控制系統(tǒng)的功能要求42.3單片機(jī)交通控制系統(tǒng)的基本構(gòu)成及原理63 系統(tǒng)硬件電路的設(shè)計83.1系統(tǒng)硬件總電路構(gòu)成及原理83.1.1系統(tǒng)硬件電路構(gòu)成83.1.2系統(tǒng)工作原理93.2其它硬件介紹及連接103.2.1車流量檢測電路及模擬103.2.2違規(guī)檢測電路及模擬123.

9、2.3八段LED數(shù)碼管133.2.4其它器件134 系統(tǒng)軟件程序的設(shè)計184.1程序主體設(shè)計流程184.2理論基礎(chǔ)知識194.2.1定時器原理194.2.2軟件延時原理204.2.3中斷原理204.2.4消抖動程序21附錄 總電路圖22參考文獻(xiàn)23致 謝24泰山學(xué)院本科畢業(yè)論文(設(shè)計)1 引言1.1單片機(jī)交通控制系統(tǒng)的選題背景隨著人口快速的增多,交通工具的爆炸性的發(fā)展,以及道路資源的有限性,交通控制就應(yīng)運(yùn)而生,在人類的生活、工作環(huán)境中,交通扮演著極其重要的角色,人們的出行都無時不刻與交通打著交道。自18世紀(jì)工業(yè)革命以來,工業(yè)發(fā)展帶動整個交通運(yùn)輸?shù)陌l(fā)展,從而催生了單獨(dú)的交通控制學(xué)問與管理機(jī)構(gòu)。交

10、通控制系統(tǒng)是近現(xiàn)代社會隨著物流、出行等交通發(fā)展產(chǎn)生的一套獨(dú)特的公共管理系統(tǒng)。要保證高效安全的交通秩序,除了制定一系列的交通規(guī)則,還必須通過一定的技術(shù)手段加以實現(xiàn)。現(xiàn)代人類科學(xué)技術(shù),特別是電子科學(xué)技術(shù)的發(fā)展和成熟能比較好的解決系統(tǒng)建立中硬軟件方面要求的技術(shù)難題。目前,交通控制方面的研究能完全實現(xiàn)自動智能化,甚至將整個區(qū)域整合成一個統(tǒng)一的系統(tǒng)范圍,還能根據(jù)正常時段以及特定突發(fā)時段的情況進(jìn)行科學(xué)的自動調(diào)整1。交通對于社會的工業(yè)經(jīng)濟(jì)和人們的生活生產(chǎn)中有著十分重要的意義。隨著單片機(jī)和傳感技術(shù)的迅速發(fā)展,自動檢測領(lǐng)域發(fā)生了巨大變化,交通自動監(jiān)測控制方面的研究有了明顯的進(jìn)展,并且必將以其優(yōu)異的性能價格比,逐

11、步取代傳統(tǒng)的交通控制措施。1.2單片機(jī)交通控制系統(tǒng)選題的現(xiàn)實意義城市道路交通自動控制系統(tǒng)的發(fā)展是以城市交通信號控制技術(shù)為前導(dǎo),與汽車工業(yè)并行發(fā)展的。在其各個發(fā)展階段,由于交通的各種矛盾不斷出現(xiàn),人們總是盡可能地把各個歷史階段當(dāng)時的最新科技成果應(yīng)用到交通自動控制中來,從而促進(jìn)了交通自動控制技術(shù)的不斷發(fā)展??梢哉f,在近百年的發(fā)展中,道路交通信號控制系統(tǒng)經(jīng)歷了手動到自動,從固定配時到靈活配時,從無感應(yīng)控制到有感應(yīng)控制,從單點(diǎn)控制到干線控制,從區(qū)域控制到網(wǎng)絡(luò)控制的長遠(yuǎn)過程。交通控制研究的發(fā)展,旨在解決人類交通因需求的增多而日益繁重帶來的問題,局限于道路建設(shè)的暫時不足和交通工具的快速增長,就要使更多的車

12、輛安全高效的利用有限的道路資源,避免因無序和搶行等無控制原因造成的不必要阻塞甚至癱瘓,另外,針對整個交通線路車輛的多少實時調(diào)整和轉(zhuǎn)移多條線路的分流也十分必要2。交通網(wǎng)絡(luò)是城市的動脈,象征著一個城市的工業(yè)文明水平。交通關(guān)系著人們對于財產(chǎn),安全和時間相關(guān)的利益。具有優(yōu)良科學(xué)的交通控制技術(shù)對資源物流和人們出行都是十分有價值的,保證交通線路的暢通安全,才能保證出行舒暢,物流準(zhǔn)時到位,甚至是生命通道的延伸。1.3單片機(jī)交通控制系統(tǒng)主要研究的內(nèi)容基于整個交通控制系統(tǒng)的發(fā)展情況,本設(shè)計主要進(jìn)行如下方面的研究:用智能、集成,且功能強(qiáng)大的單片機(jī)芯片為控制中心,設(shè)計出一套十字路口的交通控制系統(tǒng),以指揮該路口的實時

13、通行狀態(tài)。本設(shè)計主要做了如下幾方面的工作:一是確定系統(tǒng)交通控制的總體設(shè)計,包括,十字路口具體的通行禁行方案設(shè)計以及系統(tǒng)應(yīng)擁有的各項功能,在這里,本設(shè)計除了有信號燈狀態(tài)控制能實現(xiàn)基本的交通功能,還增加了倒計時顯示提示,基于實際情況,又要求了對車流量檢測及自調(diào)整模擬功能,違規(guī)檢測及處理,緊急狀況處理和鍵盤可設(shè)置等強(qiáng)大功能。 二是進(jìn)行智能傳感器的硬件電路,顯示電路等的設(shè)計對各器件的選擇及連接,大體分配各個器件及模塊的基本功能要求。三是進(jìn)行軟件系統(tǒng)的設(shè)計,對于本系統(tǒng),本人采用單片機(jī)匯編語言編寫,對單片機(jī)內(nèi)部結(jié)構(gòu)和工作情況做了充足的研究,了解定時器,中斷以及延時原理,總體上完成了軟件的編寫。2 單片機(jī)交

14、通控制系統(tǒng)總體設(shè)計2.1單片機(jī)交通控制系統(tǒng)的通行方案設(shè)計設(shè)在十字路口,分為東西向和南北向,在任意時刻只有一個方向通行,另一方向禁行,持續(xù)一定時間,經(jīng)過短暫的過渡時間,將通行禁行方向?qū)Q3。其具體狀態(tài)如下圖所示。說明:黑色表示亮,白色表示滅。交通狀態(tài)從狀態(tài)1開始變換,直至狀態(tài)6然后循環(huán)至狀態(tài)1,周而復(fù)始,即如圖1所示:圖1 交通狀態(tài) 通過具體的路口交通燈狀態(tài)的演示分析我們可以把這四個狀態(tài)歸納如下:東西方向紅燈滅,同時綠燈亮,南北方向黃燈滅,同時紅燈亮,倒計時20秒。此狀態(tài)下,東西向禁止通行,南北向允許通行。東西方向綠燈滅,同時黃燈亮,南北方向紅燈亮,倒計時2秒。此狀態(tài)下,除了已經(jīng)正在通行中的其他

15、所以車輛都需等待狀態(tài)轉(zhuǎn)換。南北方向紅燈滅,同時綠燈亮,東西方向黃燈滅,同時紅燈亮,倒計時20秒。此狀態(tài)下,東西向允許通行,南北向禁止通行。南北方向綠燈滅,同時黃燈亮,東西方向紅燈亮,倒計時2秒。此狀態(tài)下,除了已經(jīng)正在通行中的其他所以車輛都需等待狀態(tài)轉(zhuǎn)換。下面我們可以用圖表表示燈狀態(tài)和行止?fàn)顟B(tài)的關(guān)系如下:表1 交通狀態(tài)及紅綠燈狀態(tài)狀態(tài)1狀態(tài)3狀態(tài)4狀態(tài)6東西向禁行等待變換通行等待變換南北向通行等待變換禁行等待變換東西紅燈1100東西黃燈0001東西綠燈0010南北紅燈0011南北綠燈1000南北黃燈0100東西南北四個路口均有紅綠黃3燈和數(shù)碼顯示管2個,在任一個路口,遇紅燈禁止通行,轉(zhuǎn)綠燈允許通

16、行,之后黃燈亮警告行止?fàn)顟B(tài)將變換。狀態(tài)及紅綠燈狀態(tài)如表1所示。說明:0表示滅,1表示亮。2.2單片機(jī)交通控制系統(tǒng)的功能要求本設(shè)計能模擬基本的交通控制系統(tǒng),用紅綠黃燈表示禁行,通行和等待的信號發(fā)生,還能進(jìn)行倒計時顯示,車流量檢測及調(diào)整,交通違規(guī)處理和緊急處理等功能。(1)倒計時顯示倒計時顯示可以提醒駕駛員在信號燈燈色發(fā)生改變的時間、在“停止”和“通過”兩者間作出合適的選擇。駕駛員和行人普遍都愿意選擇有倒計時顯示的信號控制方式,并且認(rèn)為有倒計時顯示的路口更安全。倒計時顯示是用來減少駕駛員在信號燈色改變的關(guān)鍵時刻做出復(fù)雜判斷的1種方法,它可以提醒駕駛員燈色發(fā)生改變的時間,幫助駕駛員在“停止”和“通過

17、”兩者間作出合適的選擇 。(2)車流量檢測及調(diào)整隨著我國經(jīng)濟(jì)建設(shè)的蓬勃發(fā)展,城市人口和機(jī)動車擁有量在急劇增長,交通流量日益加大,交通擁擠堵塞現(xiàn)象日趨嚴(yán)重,交通事故時有發(fā)生。車輛檢測器作為智能交通系統(tǒng)的基本組成部分,在智能交通系統(tǒng)中占有重要的地位?,F(xiàn)階段,車輛檢測器檢測方式有很多,各有其優(yōu)缺點(diǎn),如紅外線檢測器、地磁檢測器、機(jī)械壓電檢測器,磁頻檢測器、波頻檢測器、視頻檢測器等。一般車流量檢測器采用傳感器+單片機(jī)+外圍器件來實現(xiàn)。 而且,目前國內(nèi)使用的紅綠燈都是固定的紅綠燈時間,并自動切換。紅燈時間和綠燈時間,是根據(jù)道口東西向和南北向的車流量,利用統(tǒng)計方法確定的。交通警察不斷觀察十字路口的兩個方向,

18、根據(jù)車輛密度和流速決定是否切換紅綠燈,以保證最佳的道路交通控制狀態(tài)。(3)時間手動設(shè)置 除系統(tǒng)根據(jù)車流量自動控制調(diào)整,也可以通過鍵盤進(jìn)行手動設(shè)置,增加了人為的可控性,避免自動故障和意外發(fā)生,并再緊急狀態(tài)下,可設(shè)置所有燈變?yōu)榧t燈。鍵盤是單片機(jī)系統(tǒng)中最常用的人機(jī)接口,一般情況下有獨(dú)立式和行列式兩種。前者軟件編寫簡單,但在按鍵數(shù)量較多時特別浪費(fèi)I0口資源,一般用于按鍵數(shù)量少的系統(tǒng)。后者適用于按鍵數(shù)量較多的場合,但是在單片機(jī)I0口資源相對較少而需要較多按鍵時,此方法仍不能滿足設(shè)計要求。本系統(tǒng)要求的按鍵控制不多,且I0口足夠,可直接采用獨(dú)立式。(4)緊急處理 交通路口出現(xiàn)緊急狀況在所難免,如特大事件發(fā)生

19、,救護(hù)車等急行車通過等,我們都必須盡量允許其暢通無阻,畢竟在這種情況下是分秒必爭的,時時刻刻關(guān)系著公共財產(chǎn)安全,個人生死攸關(guān)等。由此在交通控制中增設(shè)禁停按鍵,就可達(dá)到想此目的。(5)違規(guī)檢測 交通規(guī)則必須人人遵守,但是違反規(guī)則,如闖紅燈等,也時有發(fā)生,交警等交通管理人員雖然可以進(jìn)行實時監(jiān)管,但是耗費(fèi)精力,在路口設(shè)置檢測傳感器就可以進(jìn)行自動的警報提示。2.3單片機(jī)交通控制系統(tǒng)的基本構(gòu)成及原理單片機(jī)設(shè)計交通燈控制系統(tǒng),可用單片機(jī)直接控制信號燈的狀態(tài)變化,基本上可以指揮交通的具體通行,當(dāng)然,接入LED數(shù)碼管就可以顯示倒計時以提醒行使者,更具人性化。本系統(tǒng)在此基礎(chǔ)上,加入了違規(guī)檢測電路和車流量檢測電路

20、為單片機(jī)采集數(shù)據(jù),單片機(jī)對此進(jìn)行具體處理,及時調(diào)整控制指揮,為了超越視覺指揮的局限性,同時接上蜂鳴器,在聽覺上加強(qiáng)了指揮提醒作用。單片機(jī)蜂鳴器按鍵控制紅黃綠信號燈車流量檢測電路最小系統(tǒng)外圍接口電路8段LED數(shù)碼管顯示圖2 系統(tǒng)的總體框圖據(jù)此,本設(shè)計系統(tǒng)以單片機(jī)為控制核心,連接成最小系統(tǒng),由車流量檢測模塊,違規(guī)檢測模塊,和按鍵設(shè)置模塊等產(chǎn)生輸入,信號燈狀態(tài)模塊,LED倒計時模塊和蜂鳴器狀態(tài)模塊接受輸出。系統(tǒng)的總體框圖如上所示。鍵盤設(shè)置模塊對系統(tǒng)輸入模式選擇及具體通行時間設(shè)置的信號,系統(tǒng)進(jìn)入正常工作狀態(tài),執(zhí)行交通燈狀態(tài)顯示控制,同時將時間數(shù)據(jù)倒計時輸入到LED數(shù)碼管上實時顯示。在此過程中還要實時捕

21、捉違規(guī)檢測和緊急按鍵信號,以達(dá)到對異常狀態(tài)進(jìn)行實時控制的目的。急停按鍵和違規(guī)檢測隨時調(diào)用中斷。在模式選擇上,若為自動模式,將不斷調(diào)用車流量檢測模塊對車流量進(jìn)行檢測統(tǒng)計,到達(dá)一定時間將修正通行時間一滿足不同路況的需要。24 泰山學(xué)院本科畢業(yè)論文(設(shè)計)3 系統(tǒng)硬件電路的設(shè)計3.1系統(tǒng)硬件總電路構(gòu)成及原理實現(xiàn)本設(shè)計要求的具體功能,可以選用AT89S51單片機(jī)及外圍器件構(gòu)成最小控制系統(tǒng),12個發(fā)光二極管分成4組紅綠黃三色燈構(gòu)成信號燈指示模塊,8個LED東西南北各兩個構(gòu)成倒計時顯示模塊,車流量檢測傳感器采集流量數(shù)據(jù),光敏傳感器捕獲違規(guī)信號,若干按鍵組成時間設(shè)置和模式選擇按鈕和緊急按鈕等,以及用1個蜂鳴

22、器進(jìn)行報警4。3.1.1系統(tǒng)硬件電路構(gòu)成本系統(tǒng)以單片機(jī)為核心,組成一個集車流量采集、處理、自動控制為一身的閉環(huán)控制系統(tǒng)。系統(tǒng)硬件電路由車流量檢測電路、單片機(jī)、違規(guī)檢測電路,狀態(tài)燈,LED顯示,按鍵,蜂鳴器組成。其具體的硬件電路總圖如圖3所示。其中P0,P2,用于送顯兩片LED數(shù)碼管,P1用于控制紅綠黃發(fā)光二極管,XTAL1和XTAL2接入晶振時鐘電路,RESET引腳接上復(fù)位電路,P3.3圖3 總體設(shè)計電路圖即INT1接違規(guī)檢測電路和P3.2即INT0接緊停東西時間設(shè)置鍵J,P0.6,P0.7接車流量檢測電路,P3.6接南北時間設(shè)置鍵S,P3.7接自動模式選擇返回鍵F,P3.4接蜂鳴器。3.1.

23、2系統(tǒng)工作原理系統(tǒng)上電或手動復(fù)位之后,系統(tǒng)等待模式選擇設(shè)置鍵按下,模式分兩種:紅綠燈時間自動和紅綠燈時間設(shè)置。若此時F鍵按下,則設(shè)置為自動模式,若此時按下的是S鍵,則設(shè)置為時間設(shè)置模式,依次按S若干次,J鍵若干次可設(shè)置好兩個方向的紅綠燈時間,再按F鍵確認(rèn)。其實這個過程就是將存儲時間值的寄存器進(jìn)行設(shè)置,以及標(biāo)志是否要進(jìn)行車流量檢測及調(diào)整。接下來,系統(tǒng)必須先顯示狀態(tài)燈及LED數(shù)碼管,將狀態(tài)碼值送顯P1口,將要顯示的時間值送顯P0口和用P2口來選通LED數(shù)碼管的顯示導(dǎo)通,在此同時以50ms為周期,用軟件方法計時1秒,到達(dá)1s就要將時間值減1,刷新LED數(shù)碼管。時間到達(dá)一個狀態(tài)所要全部時間,則要進(jìn)行下

24、一狀態(tài)判斷及銜接,并裝入次狀態(tài)的相應(yīng)狀態(tài)碼值以及時間值,當(dāng)然,還要開啟兩個外部中斷,其一為違規(guī)信號或禁停信號輸入,一旦信號有效,中斷開始,進(jìn)入中斷服務(wù)子程序,開啟蜂鳴器禁止全部通行,當(dāng)按下F鍵,中斷結(jié)束返回。其二為車流量檢測信號輸入,若檢測到車輛經(jīng)過,進(jìn)入相應(yīng)的中斷子程序,將存儲車流量的計數(shù)器加1,然后中斷結(jié)束返回。每滿一個狀態(tài)循環(huán)周期,若為自動模式,則須將檢測到的車流量數(shù)據(jù)處理一次,判斷兩個方向的交通輕重緩急狀況,再調(diào)整下次狀態(tài)循環(huán)的紅綠燈時間,以達(dá)到自動控制的目的。3.2其它硬件介紹及連接3.2.1車流量檢測電路及模擬如何判斷兩路口車輛的狀況呢?我們要設(shè)計一套科學(xué)檢測車流量而自動調(diào)整綠燈放

25、行時間( 需設(shè)定上、下限) 的控制系統(tǒng),這樣無疑會大大提高車輛通過率, 有效緩解交通壓力。我們在每車道車輛等待線的前方都安裝一個霍爾車輛檢測傳感器, 當(dāng)有一輛車通過時就會使霍爾開關(guān)型傳感器的磁場發(fā)生變化, 而產(chǎn)生一個脈沖電平, 脈沖電平送給單片機(jī)的計數(shù)器處理, 給單片機(jī)的計數(shù)器定一個初值, 用來判斷各方向車輛狀況。比如: 20秒內(nèi)可以通過的車輛為20輛, 當(dāng)20秒內(nèi)南往北方向車輛通過車輛達(dá)不到20輛時, 判斷該方向為少車, 當(dāng)20秒內(nèi)北往南方向車輛通過車輛也達(dá)不到20 輛時, 判斷該方向也為少車, 下一次通行仍為20秒, 當(dāng)20秒時間內(nèi)南往北或北往南任意一個方向通過的車輛達(dá)20輛時證明該狀態(tài)車

26、輛較多, 下一次該方向綠燈放行時間改為40秒, 當(dāng)40秒內(nèi)通過的車輛數(shù)達(dá)45輛時車輛判斷為擁擠, 下一次綠燈放行時間改仍為40秒, 當(dāng)40秒車輛上通過車輛達(dá)不到45輛時, 判斷為少車, 下次綠燈放行時間改為20秒, 依此類推。綠燈下限時間為20秒, 上限值為40秒, 初始時間為20秒。這樣檢測, 某次可能不準(zhǔn)確, 但下次肯定能彌補(bǔ)回來, 累積計算是很準(zhǔn)確的, 這就是人們常說的模糊控制。因為路上的車不可能突然增多, 塞車都有一個累積過程。這樣控制可以把不斷增多的車輛一步一步消化, 雖然最后由于每個路口的綠燈放行時間延長而使等候的時間變長, 但比塞車等候的時間短得多。本系統(tǒng)的特點(diǎn)是成本低, 控制準(zhǔn)

27、確。圖4 十字路口車輛通行順序十字路口車輛通行順序由于南往北, 北往南時間顯示相同, 所以只要一個方向多車, 下次時間就要加長東往西,西往東也一樣。車流量檢測傳感器可對單片機(jī)控制系統(tǒng)提供實時數(shù)據(jù),系統(tǒng)對所獲數(shù)據(jù)進(jìn)行模糊處理。實現(xiàn)紅綠燈模糊控制必須解決對當(dāng)前十字路口的交通狀況的檢測,并完成如下工作:1.輸入量的采集,系統(tǒng)采集兩個輸入量,即兩個方向的車流量。2.輸出量的確認(rèn),即紅綠燈時間值。3.設(shè)計將輸入映照到輸出的模糊規(guī)則。4.決定被激活模糊規(guī)則的組合方式和清晰處理,生成精確的輸出控制信號。為了采集上述數(shù)據(jù),在十字路口的四側(cè)共設(shè)置2個傳感器。分別檢測兩個方向的車流量,車流量檢測不是最終目的,在每

28、半個循環(huán)周期,系統(tǒng)會檢測到兩個方向的車流量數(shù)據(jù),除以時間,那么就可以得到單位時間的車流量,然后比較兩個方向單位時間車流量多少,以確定下一次循環(huán)紅綠燈時間,達(dá)到調(diào)整的目的。表2 顯示時間選擇車輛情況本次該方向通行時間下次表該方向通行時間本次該方向通行時間本次該方向通行時間南往北少車,北往南少車20秒20秒40秒20秒南往北少車,北往南多車20秒40秒40秒40秒南往北多車,北往南少車20秒40秒40秒40秒南往北多車,北往南多車20秒40秒40秒40秒東往西少車,西往東少車20秒20秒40秒20秒東往西少車,西往東多車20秒40秒40秒40秒東往西多車,西往東少車20秒40秒40秒40秒東往西多

29、車,西往東多車20秒40秒40秒40秒車流量檢測是用外部中斷引腳P06,P07捕獲到一個低電平,則進(jìn)入相應(yīng)的中斷服務(wù)子程序,在子程序中,用R5計南北向車流量,用R6計東西向車流量,設(shè)車向標(biāo)志位為01H,判斷車向. 根據(jù)紅綠燈時間調(diào)整原理,一個周期下來,R5,R6中分別存儲著南北,東西的車流量,接下來求單位時間車流量,此時南北向時間,東西向時間分別存儲在R0,R1中,則兩個方向的流量比例為(R5/R0)/(R6/R1)=(R5*R1)(R6*R0),顯然該比例是1左右?guī)?shù)的值,然而單片機(jī)程序中只取整數(shù),重要的數(shù)據(jù)信息就會丟失,所以本設(shè)計中首先將(R5*R1)乘以10,比例就變?yōu)?0左右的值。將

30、該比例值放在A,然后進(jìn)行時間調(diào)整。由于受到多方面的限制,時間調(diào)整在此只劃定3個范圍。比例0到0.7為一個范圍,0.8到1.5為一個范圍,1.5以上為一個范圍。第一范圍顯然表明東西向交通嚴(yán)重,應(yīng)將時間調(diào)長;第二范圍表明兩向相當(dāng),可設(shè)置一樣的時間,第三范圍表明南北向交通嚴(yán)重,應(yīng)將該向時間調(diào)長。具體設(shè)置如下表3 表3 比例及調(diào)整時間南北與東西向比例00.70.81.51.5及以上調(diào)整南北向時間202040調(diào)整東西向時間402020由表可知,對應(yīng)的時間調(diào)整也只有三種,分別是20,40;20,20;40,20.顯然在實際應(yīng)用中這樣簡單的處理難以盡如人意,但在此處,本設(shè)計只是模擬大致的調(diào)整過程3.2.2違

31、規(guī)檢測電路及模擬在紅燈和黃燈期間,車輛是禁行的,為了對那些違反規(guī)則的車輛進(jìn)行檢測,可使用超聲波車輛傳感器。但是,用于受到條件的限制,本系統(tǒng)設(shè)計中只是使用了普通光敏二極管。圖5 違規(guī)檢測電路其基本設(shè)計思想是:將光敏二極管放在停車線上,當(dāng)車輛行駛過將光敏二極管遮住,這樣,光敏二極管就不導(dǎo)通,單片機(jī)檢測到這一信號執(zhí)行警報操作。違規(guī)檢測電路如下圖5所示。但是除了使用光敏二極管,還需使用三極管,三極管的型號是9031.由于普通光敏二極管的開關(guān)特性不太好,所以設(shè)計在電路中加入了三極管作為開關(guān)。由于普通光敏二極管在導(dǎo)通的情況下的電阻都能達(dá)到0.5-1K,所以在設(shè)計中將光敏二極管直接連到了電源上。同時三極管還

32、可以起到一定的隔直作用。當(dāng)光敏二極管關(guān)閉時,三極管的基極為低電平,基極與發(fā)射基之間的電壓為零,三極管關(guān)斷,檢測口的電壓為高電平。同理,當(dāng)光敏二極管導(dǎo)通時,三極管的基極電壓為高,基極與發(fā)射極之間的電平為高,三極管導(dǎo)通,檢測口的電壓為低電平?;诖司涂梢詸z測是否有違規(guī)車輛了。3.2.3八段LED數(shù)碼管LED8段數(shù)碼管的設(shè)置為每個方位上的一對2為顯示器。四個方位上總共用8個LED接在單片機(jī)的IO口上5。雖然路口不一樣,但是顯示的時間在數(shù)字上是一樣的,所以兩邊連接的IO口是對稱的。因為輸出口較少的原因,所以每個十位,個位的數(shù)據(jù)的傳輸必須采用動態(tài)掃描的方式,因為人眼的視覺原因,人們會認(rèn)為是同時點(diǎn)亮的.下

33、面我們用這種方法顯示交通燈的時間,南北方向要顯示20秒,東西方向要顯示25秒,那么我們先給P0口送2的共陰極碼即5BH,讓第一位2要顯示的位碼GND段為低電平,其它七位的控制端都接高電平,那么第一位就顯示2,其它七位不亮。讓其顯示1MS后再給P0口送0的共陰極碼即3FH,讓第二位要顯示0的位碼GND段為低電平,其它七位的控制端都接高電平,那么第二位就顯示0,其它七位不亮。依此類推分別送完第一位2,第二位0,第三位2,第四位5每一位點(diǎn)亮1MS一個掃描周期為8MS,一秒時間就要掃描125次。3.2.4其它器件(1)發(fā)光二極管根據(jù)本設(shè)計的特點(diǎn),紅綠燈的顯示不可少,紅綠燈的顯示采用普通的發(fā)光二極管。每

34、個方向上設(shè)置紅綠黃燈,總共4組。如果東西紅燈亮,那南北方向就是綠燈亮,反之亦然,所以在硬件上連接圖上也是對稱分布的,如下圖6所示。圖6 信號燈的連接在本設(shè)計中,實際控制的燈只有6個,即:東西紅燈,東西綠燈,東西黃燈,南北紅燈,南北綠燈,南北黃燈,其中均是低電平有效。共有4鐘狀態(tài):東西紅燈亮,南北綠燈亮(11011101/DDH);東西紅燈亮,南北黃燈亮(10111101/BDH);東西綠燈亮,南北紅燈亮(11101101/EDH);東西黃燈亮,南北紅燈亮(11100111/E7H)。括號中是P1端口8個引腳值P1.7,P1.6,P1.5,P1.4,P1.3,P1.2,P1.1,P1.0以及對應(yīng)

35、的十六進(jìn)制碼。在用于顯示發(fā)光二極管時,直接由MOV指令將十六進(jìn)制碼送入P1口。剛才的4個狀態(tài)是依次變換的,這就要涉及到狀態(tài)的判斷和銜接了。先把P1端口的值與所有的4個狀態(tài)碼比較,若相同則判斷成功當(dāng)前狀態(tài),再把下一狀態(tài)的狀態(tài)碼送顯P1即可。(2)蜂鳴器本設(shè)計采用一般蜂鳴器,蜂鳴器使用PNP三極管進(jìn)行驅(qū)動控制,當(dāng)連接到單片機(jī)上的引腳輸出為低電平,PNP導(dǎo)通,蜂鳴器蜂鳴;當(dāng)連接到單片機(jī)上的引腳輸出高電平時,PNP截止,蜂鳴器停止蜂鳴。如下圖7所示8051圖7 蜂鳴器連接緊停按鍵和違規(guī)信號傳感器連接到外部中斷引腳INT1,P3.6捕獲到一個低電平,則進(jìn)入該中斷,中斷程序中先把蜂鳴器P3.7端口置0,啟

36、動蜂鳴。并且等待恢復(fù)鍵F鍵按下,然后關(guān)閉蜂鳴返回。(3)按鍵控制本設(shè)計設(shè)置了有3個鍵:S鍵,J鍵,F(xiàn)鍵。每個按鍵一端接地,另一端接上拉電阻。低電平有效,當(dāng)按鍵按下端口接地,單片機(jī)捕獲到低電平,從而知道相應(yīng)的輸入信息。如下圖圖8 按鍵示意圖首先程序不斷掃描模式設(shè)置鍵,分別記為:S鍵,J鍵,F(xiàn)鍵,低電平有效,按鍵順序是指定的,若直接按F鍵,則為自動調(diào)整模式,然后進(jìn)入下一程序;若先按S鍵,再按J鍵,F(xiàn)鍵則為設(shè)置時間模式,然后進(jìn)入下一程序。程序的開始要判斷是否有鍵按下,可以不斷將S鍵值和F鍵值相與,與值為1則表示沒有鍵按下,為0則表示有鍵按下。 接下來要判斷具體是那個鍵,若為F鍵,則將自動標(biāo)志位置1,

37、進(jìn)入下一程序,否則為S鍵,則表示設(shè)置南北綠燈時間,用R0存值,按1下加1,同時還需判斷此時J鍵是否按下,若按下,則表示南北綠燈時間設(shè)置完畢,開始設(shè)置東西綠燈時間,用R1存值,同樣按1下加1 ,同時判斷此時F鍵是否按下,若按下,則表示時間設(shè)置完畢,進(jìn)入下一程序。在這個過程中,S,J鍵的計數(shù)是循環(huán)的,從初值20開始,加到40則循環(huán)回到20。 (4) 電源電路設(shè)計由于單片機(jī)工作時需要的+5V電壓,所以在設(shè)計電源電路時,需要一個電子元件能提供+5V電壓,由于7805能夠提供5V電壓的三端穩(wěn)壓電源,在實際的電路控制中應(yīng)用其作為電源電路較為廣泛,在普通的電子元器件商場都有銷售易于購買,并且技術(shù)相對成熟.7

38、805一腳為電源輸入端,二腳為公共接地端,三腳即為我們所需要的+5V電壓輸出端.本文采用7805提供電壓的電路,即在7805的1腳和公共接地端(即2腳)之間接入0.3F的電容,在公共接地端和三腳+5V電壓輸出端之間接入0.1F的電容. 圖9 +5V電源電路(5)7448七段顯示譯碼器該集成顯示譯碼器有多個輔助控制端,以增強(qiáng)器件的功能,可將單片機(jī)輸出的四位二進(jìn)制數(shù)轉(zhuǎn)換成10進(jìn)制數(shù)與七段數(shù)碼管顯示對應(yīng),用于顯示09的數(shù)字。 圖10 7448芯片4 系統(tǒng)軟件程序的設(shè)計4.1程序主體設(shè)計流程全部控制程序?qū)嶋H上分為若干模塊:鍵盤設(shè)置處理程序,狀態(tài)燈控制程序,LED顯示程序,消抖動延時程序,次狀態(tài)判斷及處

39、理程序,緊?;蜻`規(guī)判斷程序,中斷服務(wù)子程序,車流量計數(shù)程序,紅綠燈時間調(diào)整程序等6。整個軟件程序方面主要分兩大部分:按鍵處理程序和50ms掃描程序。流程圖如圖11所示。圖11 系統(tǒng)總流程圖首先是按鍵處理程序,89S51通過對IO掃描,確定是否有鍵按下,再判斷具體是那個鍵按下,根據(jù)鍵值跳轉(zhuǎn)到按鍵處理程序7。按鍵處理結(jié)果可設(shè)置兩種工作模式:紅綠燈時間設(shè)置模式和紅綠燈時間自動模式,次程序相當(dāng)于系統(tǒng)的模式設(shè)置,若想重新設(shè)置則要按下復(fù)位鍵。設(shè)置過后進(jìn)入50ms掃描程序。50ms掃描程序開始后,先刷新顯示模塊,若為自動模式則接下來要計數(shù)車流量,然后掃描緊停信號和違規(guī)信號,若捕獲則調(diào)用中斷,中斷服務(wù)子程序主

40、要啟動蜂鳴器,直至恢復(fù)鍵按下。50ms已到則重新掃描。掃描20次之后計時到達(dá)1s則時間數(shù)據(jù)減1,在顯示模塊中修改顯示緩沖區(qū)內(nèi)容。在半個狀態(tài)對換時,車流量計數(shù)程序在一個狀態(tài)變換循環(huán)先后計數(shù)兩個方向的車流量,然后調(diào)用紅綠燈時間調(diào)整程序,更新紅綠燈時間。當(dāng)前狀態(tài)時間已到,則判斷次狀態(tài)裝入相應(yīng)數(shù)據(jù),然后進(jìn)入下一狀態(tài)。4.2理論基礎(chǔ)知識4.2.1定時器原理 定時器工作的基本原理其實就是給初值,讓它不斷加1直至減完為模值,這個初值是送到TH和TL中的8。它是以加法記數(shù)的,并能從全1到全0時自動產(chǎn)生溢出中斷請求。因此,我們可以把計數(shù)器記滿為零所需的計數(shù)值,即所要求的計數(shù)值設(shè)定為C,把計數(shù)初值設(shè)定為TC 可得

41、到如下計算通式: (1)式中,M為計數(shù)器模值。計數(shù)值并不是目的,目的是時間值,設(shè)計1次的時間,即定時器計數(shù)脈沖的周期為T0,它是單片機(jī)系統(tǒng)主頻周期的12倍,設(shè)要求的時間值為T,則有C=TT0。計算通式變?yōu)椋?(2)模值和計數(shù)器工作方式有關(guān)。在方式0時M為8192;在方式1時M的值為65536;在方式2和3為256。就此可以算出各種方式的最大延時。如單片機(jī)的主脈沖頻率為12MHZ,經(jīng)過12分頻后,若采用方式最大延時只有8.129毫秒,采用方式最大延時也只有65.536毫秒。這就是為什么掃描周期為50ms的原因,若使用軟件則會耽擱程序流程,顯然不可行。相反,時間計時方面卻不可能只用計數(shù)器,因為顯然

42、秒鐘已經(jīng)超過了計數(shù)器的最大定時間,所以我們還必須采用定時器和軟件相結(jié)合的辦法才能解決這個問題。4.2.2軟件延時原理MCS-51的工作頻率為12MHZ,機(jī)器周期與主頻有關(guān),機(jī)器周期是主頻的12倍,所以一個機(jī)器周期的時間為12*(1/12MHZ)=1us。我們可以知道具體每條指令的周期數(shù),這樣我們就可以通過指令的執(zhí)行條數(shù)來確定1秒的時間,但同時由于單片機(jī)的運(yùn)行速度很快其他的指令執(zhí)行時間可以忽略不計。我們設(shè)定一個初值為20的軟件計數(shù)器和使T0定時50毫秒。這樣每當(dāng)T0到50毫秒時CPU就響應(yīng)它的溢出中斷請求,進(jìn)入他的中斷服務(wù)子程序。在中斷服務(wù)子程序中,CPU先使軟件計數(shù)器減,然后判斷它是否為零。為

43、零表示秒已到。設(shè)定定時器需要定時50毫秒,故T0必須工作于方式。要求初值:TC=M-T*T0=216-50ms/1us=15536=3CBOH. 4.2.3中斷原理本系統(tǒng)主要使用了外部中斷,中斷信號有引腳INT0和INT1輸入,低電平有效,CPU每個時鐘周期都會檢測INT0和INT1上的信號,8051允許外部中斷以電平方式或負(fù)邊沿方式兩種中斷方式輸入中斷請求信號,可由用戶通過設(shè)置TCON中IT0和IT1位的狀態(tài)來實現(xiàn)9。以IT0為例,IT0=0,為電平觸發(fā)方式,IT0=1,為負(fù)邊沿觸發(fā)方式,本設(shè)計采用電平方式,IE0為其中斷標(biāo)志位,有中斷信號則置位,中斷服務(wù)子程序響應(yīng)后,IE0自動清零。IE中

44、的EA為允許中斷的總控制位,為1開啟,EX0為外部中斷允許控制位,為1開啟。在優(yōu)先級的允許下,一旦有外部中斷信號產(chǎn)生,單片機(jī)CPU首先保護(hù)斷點(diǎn),PC值進(jìn)棧,然后執(zhí)行相應(yīng)的中斷服務(wù)子程序,執(zhí)行完后,用RETI指令返回,此時CPU會從堆棧中取保存的斷點(diǎn)地址,送回PC,程序再正常執(zhí)行。4.2.4消抖動程序另外,在按鍵計數(shù)的過程中,還存在機(jī)械抖動與軟件方面的矛盾,即當(dāng)程序檢測到了有按鍵按下,則會計一次數(shù),但是實際上,按鍵閉合后在微觀上還會彈起,然后閉合,一直到達(dá)穩(wěn)定,顯然后面的彈落是無效的,為了使程序避免這個問題,可以在檢測到首次閉合時,調(diào)用一定時間的延時程序。此處延時程序完全用軟件完成,利用程序執(zhí)行

45、一條指令的時間,再加上兩次累減嵌套。附錄 總電路圖參考文獻(xiàn)1 劉志強(qiáng),王文錦.論道路交通安全環(huán)境J.中國安全科學(xué)學(xué)報,2002,12(4):27-30.2 呂立生.城市交通阻塞分析J.上海大學(xué)學(xué)報(自然科學(xué)版),1998,4(3):317-322.3 王冬梅,張建秋,路敬祎.基于單片機(jī)的交通燈控制系統(tǒng)設(shè)計與實現(xiàn)J.佳木斯大學(xué)學(xué)報(自然科學(xué)版),2009,27(1):94-96.4 蔣汝根,錢丹浩.基于AT89C51單片機(jī)的交通燈模擬控制系統(tǒng)J.無錫商業(yè)職業(yè)技術(shù)學(xué)院學(xué)報,2006,6(6):8-12.5 閻石.數(shù)字電子技術(shù)基礎(chǔ)(第五版)M.北京:高等教育出版社,2006:289-346.6 王志

46、華,鄧仰東.數(shù)字集成系統(tǒng)的結(jié)構(gòu)化設(shè)計與高層次綜合M.北京:清華大學(xué)出版社,2001:90-1017 何立民.MCS-51系列單片機(jī)應(yīng)用系統(tǒng)設(shè)計M.北京:北京航空航天大學(xué)出版社,1990,302-311.8 楊曉慧,許紅梅,楊會玲.電子技術(shù)EDA實踐教程M.北京:國防工業(yè)出版社,2004:235-240.9 李群芳,肖看.單片機(jī)原理、接口及應(yīng)用-嵌入式系統(tǒng)技術(shù)基礎(chǔ)M.北京:清華大學(xué)出版社,2006:154-160.10 潘松,黃繼業(yè).EDA技術(shù)實用教程(第三版)M.北京:科學(xué)出版社,2006:13-1611 (美)John F.Wakerly. Digital Design: Principle

47、s and Practices (4th Edition)M.北京:機(jī)械工業(yè)出版社,2007:605-608.12 侯伯亨,顧新.VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計M.西安:西安電子科技大學(xué)出版社,1999:370-375. 致 謝本論文是在 老師指導(dǎo)下完成的。從論文選題到課題難點(diǎn)的解決,陳老師都給予了本人悉心的指導(dǎo)。 陳老師的治學(xué)態(tài)度、專業(yè)造詣和敬業(yè)精神都使我受益非淺,在此,我首先向陳老師致以衷心的感謝!在課題完成過程中,還得到了李飛等同學(xué)的幫助,給我提供了很多思路與經(jīng)驗,對我深入理解課題的有關(guān)知識與方法起到了重要作用。在此,我對同學(xué)們也表示誠摯的謝意。最后,衷心感謝在百忙之中抽出時間審閱本論文的老師。

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!