九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

基于vhdl數(shù)字是競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)說(shuō)明書(shū).doc

上傳人:good****022 文檔編號(hào):116836236 上傳時(shí)間:2022-07-06 格式:DOC 頁(yè)數(shù):62 大?。?64.04KB
收藏 版權(quán)申訴 舉報(bào) 下載
基于vhdl數(shù)字是競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)說(shuō)明書(shū).doc_第1頁(yè)
第1頁(yè) / 共62頁(yè)
基于vhdl數(shù)字是競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)說(shuō)明書(shū).doc_第2頁(yè)
第2頁(yè) / 共62頁(yè)
基于vhdl數(shù)字是競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)說(shuō)明書(shū).doc_第3頁(yè)
第3頁(yè) / 共62頁(yè)

下載文檔到電腦,查找使用更方便

12 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《基于vhdl數(shù)字是競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)說(shuō)明書(shū).doc》由會(huì)員分享,可在線閱讀,更多相關(guān)《基于vhdl數(shù)字是競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)說(shuō)明書(shū).doc(62頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、 基于VHDL的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)- 搶答、計(jì)分和報(bào)警 完成日期: 指導(dǎo)教師簽字: 答辯小組成員簽字: I 基于VHDL的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn) 搶答、計(jì)分和報(bào)警 摘 要 搶答器作為一種電子產(chǎn)品,早已廣泛應(yīng)用于各種智力競(jìng)賽和知識(shí)競(jìng)賽場(chǎng)合,是競(jìng) 賽問(wèn)答中一種常用的必備裝置電路結(jié)構(gòu)形式多種多樣。 本設(shè)計(jì)使用 VHDL 語(yǔ)言設(shè)計(jì)一個(gè)四路數(shù)字競(jìng)賽搶答器系統(tǒng)。 VHDL 是一種全方 位的硬件描述語(yǔ)言,幾乎覆蓋了以往各種硬件描述語(yǔ)言的功能,整個(gè)自頂向下或自底 向上的電路設(shè)計(jì)過(guò)程都可以用 VHDL 來(lái)完成。本文闡述了 EDA 的概念和發(fā)展、 VHDL 語(yǔ)言的優(yōu)點(diǎn)和語(yǔ)法結(jié)構(gòu)并分析講解了四路數(shù)字

2、競(jìng)賽的各模塊的功能要求、基本 原理以及實(shí)現(xiàn)方法。本系統(tǒng)的設(shè)計(jì)就是采用VHDL 硬件描述語(yǔ)言編程,基于 QuatusII6.0 平臺(tái)進(jìn)行編譯和仿真來(lái)實(shí)現(xiàn)的,其采用的模塊化、逐步細(xì)化的設(shè)計(jì)方法有 利于系統(tǒng)的分工合作,并且能夠及早發(fā)現(xiàn)各子模塊及系統(tǒng)中的錯(cuò)誤,提高系統(tǒng)設(shè)計(jì)的 效率。搶答器的主要功能模塊是是: 1、對(duì)第一搶答信號(hào)的鑒別和鎖存功能; 2、計(jì) 分功能。3、數(shù)碼顯示 ;4、答題限時(shí)功能。在本設(shè)計(jì)主要講述搶答、計(jì)分和警告的功 能。 關(guān)鍵詞: 搶答器;EDA ;VHDL II Based on VHDL for Digital Competition Vies to Answer First th

3、e Design andImplementation -Vies to AnswerFirst, Scoring and Call the Police Abstract Responder is a kind of electronic products, has been widely used in all kinds of intelligence competition and knowledge contests occasions, is contest answers must have a common device circuit structure forms. The

4、design of the use of VHDL language design a four way race responder digital system.VHDL is a full range of hardware description language, covering almost the past various hardware description language function, the top-down or bottom-up circuit design process can use VHDL to complete.This paper expo

5、unds the concept and the development of EDA, VHDL language advantages and grammatical structure and Analysis on the four digital competition each module functional requirements, principle and implementation method.The design of this system is the use of VHDL hardware description language, based on Q

6、uatusII6.0 platform compilation and simulation to achieve, the modular, stepwise refinement design method is helpful for system of division of labour, and early identification of each module and the system error, improve the efficiency of system design.Vies to answer first the main function module i

7、s: 1, the first vies to answer first the differential signal and latch function; 2, score function.In 3, a digital display; 4, the answer time limit function.In this design is mainly about answering, scoring and warning function. Key words: responder; EDA; VHDL III 目錄目錄 1 緒論1 2 總體設(shè)計(jì)方案2 3 設(shè)計(jì)平臺(tái)的描述3 3.

8、1 EDA 的概述3 3.2 VHDL 語(yǔ)言特點(diǎn)描述4 3.3 設(shè)計(jì)平臺(tái) QUARTUSII 6.O 軟件的概述及工作原理 .5 4 搶答器各部分的設(shè)計(jì)描述及仿真波形7 4.1 搶答鑒別、計(jì)分和報(bào)警模塊的設(shè)計(jì)7 4.1.1 搶答鑒別模塊設(shè)計(jì)7 4.1.2 報(bào)警模塊設(shè)計(jì)8 4.1.3 計(jì)分模塊的設(shè)計(jì)9 4.2 其他模塊的設(shè)計(jì)10 4.2.1 譯碼模塊的設(shè)計(jì)10 4.2.2 定時(shí)模塊的設(shè)計(jì)10 4.2.3 動(dòng)態(tài)顯示模塊的設(shè)計(jì):12 5 總結(jié)13 參考文獻(xiàn)14 致謝15 附錄 源代碼16 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 1 1 緒論 隨著集成技術(shù)的發(fā)展,尤其是中、大

9、規(guī)模和超大規(guī)模集成電路的發(fā)展,數(shù)字電子技術(shù) 的應(yīng)用越來(lái)越多地滲透到國(guó)民經(jīng)濟(jì)的各個(gè)部門,目前數(shù)字電子技術(shù)已經(jīng)廣泛應(yīng)用于計(jì)算機(jī)、 自動(dòng)控制、電子測(cè)量?jī)x表、電視、雷達(dá)、通信等各個(gè)領(lǐng)域。其中,搶答器就是典型的一種 運(yùn)用數(shù)字集成的設(shè)備。 在日常生活中,各種智力競(jìng)賽越來(lái)越多,而搶答器是必不可少的設(shè)備之一,答題時(shí)一 般分為必答和搶答兩種。必答有時(shí)間限制,到時(shí)要告警。而搶答則要求參賽者做好充分準(zhǔn) 備,由主持人宣讀完題目后,參賽者開(kāi)始搶答,誰(shuí)先按下按鈕,就由誰(shuí)答題,但競(jìng)賽過(guò)程 中很難準(zhǔn)確判斷出誰(shuí)先按下按鍵,因此使用搶答器來(lái)完成這一功能是很有必要的。它能夠 準(zhǔn)確、公正、直觀地判斷出首輪搶答者,并且通過(guò)搶答器的數(shù)碼

10、顯示和警示蜂鳴等方式指 示出首輪搶答者。 以下幾章主要介紹搶答器的搶答鑒別、計(jì)分和報(bào)警功能的實(shí)現(xiàn),VHDL 語(yǔ)言的特點(diǎn)及 發(fā)展趨勢(shì),QuatusII6.0 開(kāi)發(fā)平臺(tái)的仿真等。 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 2 2 總體設(shè)計(jì)方案 使用硬件描述語(yǔ)言(VHDL語(yǔ)言)實(shí)現(xiàn)搶答器的設(shè)計(jì),方案實(shí)現(xiàn)的思路如下面的框 圖描述 圖2-1 總體設(shè)計(jì)方案框圖 根據(jù)以上框圖,本設(shè)計(jì)可分為以下幾個(gè)模塊: 1、搶答判別模塊:它的功能是鑒別四組中是哪組搶答成功并且把搶答成功的組別信號(hào)輸 出給鎖存模塊。 2、顯示報(bào)警模塊:就是把各個(gè)模塊的輸入的不同信號(hào)經(jīng)過(guò)譯碼成 BCD 碼然后直接在數(shù) 碼

11、管上顯示,還可以加上蜂鳴器的聲音,更能給觀眾一個(gè)準(zhǔn)確、簡(jiǎn)明的數(shù)字。 3、主持人控制模塊:給節(jié)目主持人設(shè)置一個(gè)控制開(kāi)關(guān),用來(lái)控制系統(tǒng)的清零和搶答的開(kāi) 始。 4、鎖存模塊:該電路的作用是當(dāng)?shù)谝粋€(gè)搶答者搶答后,對(duì)第一個(gè)搶答者的組別進(jìn)行鎖存 并顯示在數(shù)碼管上,后面的搶答者信號(hào)全都無(wú)響應(yīng),直到主持人按下復(fù)位鍵。 5、計(jì)分模塊:由主持人控制,針對(duì)選手的答題情況,進(jìn)行加分或減分。 6、在設(shè)計(jì)過(guò)程中,其他模塊的添加。 答題定時(shí) 譯碼輸出 搶答鑒別 組別鎖存 主持人控制 聲音報(bào)警 顯示模塊 計(jì)分模塊 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 3 3 設(shè)計(jì)平臺(tái)的描述 3.1 EDA 的概述

12、 20 世紀(jì) 90 年代,國(guó)際上電子和計(jì)算機(jī)技術(shù)較先進(jìn)的國(guó)家,一直在積極探索新的電子 電路設(shè)計(jì)方法,并在設(shè)計(jì)方法、工具等方面進(jìn)行了徹底的變革,取得了巨大成功。在電子 技術(shù)設(shè)計(jì)領(lǐng)域,可編程邏輯器件(如 CPLD、FPGA)的應(yīng)用,已得到廣泛的普及,這些 器件為數(shù)字系統(tǒng)的設(shè)計(jì)帶來(lái)了極大的靈活性。這些器件可以通過(guò)軟件編程而對(duì)其硬件結(jié)構(gòu) 和工作方式進(jìn)行重構(gòu),從而使得硬件的設(shè)計(jì)可以如同軟件設(shè)計(jì)那樣方便快捷。這一切極大 地改變了傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計(jì)方法、設(shè)計(jì)過(guò)程和設(shè)計(jì)觀念,促進(jìn)了 EDA 技術(shù)的迅速發(fā)展。 EDA 技術(shù)就是以計(jì)算機(jī)為工具,設(shè)計(jì)者在 EDA 軟件平臺(tái)上,用硬件描述語(yǔ)言 VHDL 完成設(shè)計(jì)文件,

13、然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布 線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。EDA 技術(shù) 的出現(xiàn),極大地提高了電路設(shè)計(jì)的效率和可操作性,減輕了設(shè)計(jì)者的勞動(dòng)強(qiáng)度。 利用 EDA 工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開(kāi)始設(shè)計(jì)電子系統(tǒng),大量工 作可以通過(guò)計(jì)算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)出 IC 版圖或 PCB 版圖的整個(gè)過(guò)程的計(jì)算機(jī)上自動(dòng)處理完成。 現(xiàn)在對(duì) EDA 的概念或范疇用得很寬。包括在機(jī)械、電子、通信、航空航天、化工、 礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有 EDA 的應(yīng)用。目前 EDA 技術(shù)已在各大公司、

14、企事業(yè)單位和科研教學(xué)部門廣泛使用。例如在飛機(jī)制造過(guò)程中,從設(shè)計(jì)、性能測(cè)試及特性 分析直到飛行模擬,都可能涉及到 EDA 技術(shù)。 EDA 技術(shù)是指以計(jì)算機(jī)為工作平臺(tái),融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理 及智能化技術(shù)的最新成果,進(jìn)行電子產(chǎn)品的自動(dòng)設(shè)計(jì)。 利用 EDA 工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開(kāi)始設(shè)計(jì)電子系統(tǒng),大量工 作可以通過(guò)計(jì)算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)出 IC 版圖或 PCB 版圖的整個(gè)過(guò)程的計(jì)算機(jī)上自動(dòng)處理完成。 現(xiàn)在對(duì) EDA 的概念或范疇用得很寬。包括在機(jī)械、電子、通信、航空航天、化工、 礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有 EDA 的應(yīng)用

15、。目前 EDA 技術(shù)已在各大公司、 企事業(yè)單位和科研教學(xué)部門廣泛使用。例如在飛機(jī)制造過(guò)程中,從設(shè)計(jì)、性能測(cè)試及特性 分析直到飛行模擬,都可能涉及到 EDA 技術(shù)。本文所指的 EDA 技術(shù),主要針對(duì)電子電路 設(shè)計(jì)、PCB 設(shè)計(jì)和 IC 設(shè)計(jì)。 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 4 EDA 是在 20 世紀(jì) 90 年代初從計(jì)算機(jī)輔助設(shè)計(jì)、計(jì)算機(jī)輔助制造、計(jì)算機(jī)輔助測(cè)試 和計(jì)算機(jī)輔助工程的概念發(fā)展而來(lái)的。EDA 技術(shù)不僅極大地提高了系統(tǒng)的設(shè)計(jì)效率,而 且使設(shè)計(jì)者擺脫了大量的輔助性工作,將精力值終于創(chuàng)造性的方案與概念的構(gòu)思上。 在傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計(jì)中,描述硬件的方法通常

16、是邏輯表達(dá)式和邏輯電路圖。隨著系 統(tǒng)復(fù)雜程度的增加,這些描述方法變得過(guò)于復(fù)雜,不便于使用。VHDL 語(yǔ)言是一種在 EDA 設(shè)計(jì)中廣泛流行的硬件描述語(yǔ)言,主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接 口。除了含有許多具有硬件特征的語(yǔ)句外,VHDL 語(yǔ)言的句法、語(yǔ)言形式和描述風(fēng)格十分 類似于一般的計(jì)算機(jī)高級(jí)語(yǔ)言,是目前硬件描述語(yǔ)言中應(yīng)用最為廣泛的一種。VHDL 語(yǔ)言 具有很強(qiáng)的電路描述能力,支持硬件的設(shè)計(jì)、驗(yàn)證、綜合和測(cè)試,是一種多層次的硬件描 述語(yǔ)言。目前,IEEE 又推出了一種新標(biāo)準(zhǔn),將 VHDL 語(yǔ)言的描述能力從數(shù)字電路擴(kuò)展到 模擬電路及數(shù)?;旌想娐返脑O(shè)計(jì),這使得 VHDL 語(yǔ)言的應(yīng)用范圍更加

17、廣泛。 3.2 VHDL 語(yǔ)言特點(diǎn)描述 VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Language, 誕生于 1982 年。1987 年底,VHDL 被 IEEE 和美國(guó)國(guó)防部確認(rèn)為標(biāo)準(zhǔn)硬 件描述語(yǔ)言。 VHDL 主要用于描述 數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件 特征的語(yǔ)句外,VHDL 的語(yǔ)言形式和描述風(fēng)格與句法是十分類似于一般的 計(jì)算機(jī)高級(jí)語(yǔ) 言。VHDL 的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電 路模塊或一個(gè)系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)

18、部(或稱不可視部分),既 涉及實(shí)體的內(nèi)部功能和算法完成部分。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部 開(kāi)發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念 是 VHDL 系統(tǒng)設(shè)計(jì)的基本點(diǎn)。 VHDL 語(yǔ)言能夠成為標(biāo)準(zhǔn)化的硬件描述語(yǔ)言并獲得廣泛應(yīng)用,它自身必然具有很多 其他硬件描述語(yǔ)言所不具備的優(yōu)點(diǎn)。歸納起來(lái), VHDL 語(yǔ)言主要具有以下優(yōu)點(diǎn): (1)VHDL 語(yǔ)言功能強(qiáng)大,設(shè)計(jì)方式多樣 VHDL 語(yǔ)言具有強(qiáng)大的語(yǔ)言結(jié)構(gòu),只需采用簡(jiǎn)單明確的VHDL 語(yǔ)言程序就可以 描述十分復(fù)雜的硬件電路。同時(shí),它還具有多層次的電路設(shè)計(jì)描述功能。此外, VHDL 語(yǔ)言能夠同時(shí)支持同步

19、電路、異步電路和隨機(jī)電路的設(shè)計(jì)實(shí)現(xiàn),這是其他硬件 描述語(yǔ)言所不能比擬的。 VHDL 語(yǔ)言設(shè)計(jì)方法靈活多樣,既支持自頂向下的設(shè)計(jì)方式, 也支持自底向上的設(shè)計(jì)方法; 既支持模塊化設(shè)計(jì)方法,也支持層次化設(shè)計(jì)方法。 (2)VHDL 語(yǔ)言具有強(qiáng)大的硬件描述能力 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 5 VHDL 語(yǔ)言具有多層次的電路設(shè)計(jì)描述功能,既可描述系統(tǒng)級(jí)電路,也可以描述 門級(jí)電路;描述方式既可以采用行為描述、寄存器傳輸描述或者結(jié)構(gòu)描述,也可以采 用三者的混合描述方式。同時(shí), VHDL 語(yǔ)言也支持慣性延遲和傳輸延遲,這樣可以準(zhǔn) 確地建立硬件電路的模型。 VHDL 語(yǔ)言的強(qiáng)

20、大描述能力還體現(xiàn)在它具有豐富的數(shù)據(jù)類 型。VHDL 語(yǔ)言既支持標(biāo)準(zhǔn)定義的數(shù)據(jù)類型,也支持用戶定義的數(shù)據(jù)類型,這樣便會(huì) 給硬件描述帶來(lái)較大的自由度。 (3)VHDL 語(yǔ)言具有很強(qiáng)的移植能力 VHDL 語(yǔ)言很強(qiáng)的移植能力主要體現(xiàn)在: 對(duì)于同一個(gè)硬件電路的 VHDL 語(yǔ)言 描述,它可以從一個(gè)模擬器移植到另一個(gè)模擬器上、從一個(gè)綜合器移植到另一個(gè)綜合 器上或者從一個(gè)工作平臺(tái)移植到另一個(gè)工作平臺(tái)上去執(zhí)行。 (4)VHDL 語(yǔ)言的設(shè)計(jì)描述與器件無(wú)關(guān) 采用 VHDL 語(yǔ)言描述硬件電路時(shí),設(shè)計(jì)人員并不需要首先考慮選擇進(jìn)行設(shè)計(jì)的器 件。這樣做的好處是可以使設(shè)計(jì)人員集中精力進(jìn)行電路設(shè)計(jì)的優(yōu)化,而不需要考慮其 他的問(wèn)

21、題。當(dāng)硬件電路的設(shè)計(jì)描述完成以后, VHDL 語(yǔ)言允許采用多種不同的器件結(jié) 構(gòu)來(lái)實(shí)現(xiàn)。 (5) VHDL 語(yǔ)言程序易于共享和復(fù)用 VHDL 語(yǔ)言采用基于庫(kù) ( library) 的設(shè)計(jì)方法。在設(shè)計(jì)過(guò)程中,設(shè)計(jì)人員可以建 立各種可再次利用的模塊,一個(gè)大規(guī)模的硬件電路的設(shè)計(jì)不可能從門級(jí)電路開(kāi)始一步 步地進(jìn)行設(shè)計(jì),而是一些模塊的累加。這些模塊可以預(yù)先設(shè)計(jì)或者使用以前設(shè)計(jì)中的 存檔模塊,將這些模塊存放在庫(kù)中,就可以在以后的設(shè)計(jì)中進(jìn)行復(fù)用。 由于 VHDL 語(yǔ)言是一種描述、模擬、綜合、優(yōu)化和布線的標(biāo)準(zhǔn)硬件描述語(yǔ)言,因此 它可以使設(shè)計(jì)成果在設(shè)計(jì)人員之間方便地進(jìn)行交流和共享,從而減小硬件電路設(shè)計(jì)的工作 量,

22、縮短開(kāi)發(fā)周期。 3.3 設(shè)計(jì)平臺(tái) QuartusII 6.O 軟件的概述及工作原理 QuartusII6.0 軟件是Altera公司最新版本的EDA 開(kāi)發(fā)軟件,支持APEX系列、Cyclone 系列、Stratix系列和Excalibur系列等新型系列軟件的開(kāi)發(fā)。含有工作組計(jì)算、集成邏輯分 析儀、EDA工具集成、多過(guò)程支持、增強(qiáng)重編譯和IP集成等特性。支持百萬(wàn)門級(jí)的設(shè)計(jì), 支持高速I/O設(shè)計(jì),具有更強(qiáng)的設(shè)計(jì)能力和更快的編譯速度。QuartusII6.0開(kāi)發(fā)軟件為可編 程片上系統(tǒng)設(shè)計(jì)提供了一個(gè)完整的設(shè)計(jì)環(huán)境。無(wú)論是使用個(gè)人電腦、NUIX或Linux工作站, QuartusII6.0都提供了方便設(shè)

23、計(jì)、快速編譯處理以及編程功能。 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 6 QuartusII6.0 輸入的設(shè)計(jì)過(guò)程一般為創(chuàng)建工程、輸入文件、項(xiàng)目編譯、波形仿真、項(xiàng) 目校驗(yàn)和編程下載等幾個(gè)步驟。 1、創(chuàng)建工程:在將設(shè)計(jì)實(shí)體輸入集成開(kāi)發(fā)環(huán)境并進(jìn)行編譯之前,應(yīng)當(dāng)首先創(chuàng)建 針對(duì)該設(shè)計(jì)實(shí)體的工程項(xiàng)目文件; 2、輸入文件:采用硬件描述語(yǔ)言描述的設(shè)計(jì)文件,可以預(yù)先用文本文件編輯工 具輸入并編輯,也可在 QuartusII6.0 集成開(kāi)發(fā)環(huán)境中輸入和編輯。對(duì)于事先完 成輸入編輯的設(shè)計(jì)文件,也可在創(chuàng)建工程項(xiàng)目文件時(shí)予以添加,也可在 QuartusII6.0 集成開(kāi)發(fā)環(huán)境中選擇 Fil

24、e-Open,打開(kāi)和編輯選中的設(shè)計(jì)文件。 3、編譯設(shè)計(jì)項(xiàng)目:QuartusII6.0 編譯器的功能是對(duì)設(shè)計(jì)文件進(jìn)行分析檢查和邏輯 綜合,并將綜合結(jié)果生成可以對(duì)器件編程的目標(biāo)文件,和供時(shí)序分析的時(shí)序 信息文件等輸出文件。編譯過(guò)程包括分析與綜合、適配、編程和時(shí)序分析 4 個(gè)環(huán)節(jié)。對(duì)于比較簡(jiǎn)單的設(shè)計(jì),可以使用全程編譯一次完成上述 4 個(gè)環(huán)節(jié); 而對(duì)于較為復(fù)雜的設(shè)計(jì),每一次全程編譯都非常耗時(shí),因此可以采用分步驟 編譯,分別完成每個(gè)環(huán)節(jié),逐個(gè)分析每個(gè)環(huán)節(jié)輸出的編譯報(bào)告,這樣可以提 高設(shè)計(jì)效率。 4、仿真設(shè)計(jì)項(xiàng)目:當(dāng)一個(gè)設(shè)計(jì)項(xiàng)目完成編譯以后,如果不對(duì)、驗(yàn)證正確與否, 就直接下載到項(xiàng)目器件中的話,其結(jié)果是無(wú)

25、法預(yù)知的。因?yàn)橥ㄟ^(guò)編譯只能說(shuō) 明源設(shè)計(jì)文件符合描述語(yǔ)言的語(yǔ)法規(guī)則,并可以本綜合成為電路,但不能說(shuō) 明該電路可以完成設(shè)計(jì)要求。 驗(yàn)證是設(shè)計(jì)中的一個(gè)重要環(huán)節(jié),而邏輯模擬-仿真則是最常用的驗(yàn)證手 段。使用 QuartusII6.0 仿真設(shè)計(jì)項(xiàng)目,首先要編輯仿真波形文件并存盤,然后 運(yùn)行 QuartusII6.0 的仿真器。 5、當(dāng)設(shè)計(jì)文件的方針通過(guò)后,就可以將變異輸出的配置文件下載到項(xiàng)目辦上了。 值得注意的是,在下載配置文件之前,應(yīng)當(dāng)首先將目標(biāo)期間的引腳鎖定到相 應(yīng)的端口上,這樣才能使目標(biāo)板正常運(yùn)行。 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 7 4 搶答器各部分的設(shè)計(jì)描述及

26、仿真波形 4.1 搶答鑒別、計(jì)分和報(bào)警模塊的設(shè)計(jì) 4.1.1 搶答鑒別模塊設(shè)計(jì) 在這個(gè)模塊中主要實(shí)現(xiàn)搶答過(guò)程中的搶的功能,并且能夠?qū)崿F(xiàn)當(dāng)有一路搶答按鍵按下 時(shí),該路搶答信號(hào)將其余各按鍵信號(hào)封鎖的功能。在這個(gè)模塊輸入端有WARN輸入(以時(shí) 間控制系統(tǒng)的WARN輸出信號(hào)為信號(hào)源)、一個(gè)和“時(shí)間控制系統(tǒng)”公用的CLEAR端、4人 搶答輸入信號(hào)端S0,S1,S2,S3和有一個(gè)時(shí)鐘信號(hào)端CLK,這個(gè)時(shí)鐘信號(hào)是個(gè)高頻信號(hào), 用以掃描S0,S1,S2,S3是否有信號(hào)輸入。輸出端有對(duì)應(yīng)于S0,S1,S2,S3編號(hào)的4個(gè)指 示燈LED和4線2進(jìn)制輸出端STATES(用于鎖存當(dāng)前的狀態(tài)),還有一個(gè)STOP端用于指

27、示 S0,S1,S2,S3按鈕狀態(tài)(控制計(jì)算器停止).生成模塊圖如4.1所示: 圖 4-1 搶答鑒別模塊的模塊圖 仿真波形如圖 4.2 所示: 圖4-2 搶答鑒別模塊部分仿真波形 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 8 4.1.2 報(bào)警模塊設(shè)計(jì) (1) 在這個(gè)模塊中主要實(shí)現(xiàn)搶答過(guò)程中的報(bào)警功能,當(dāng)主持人按下控制鍵,有限時(shí)間內(nèi) (N 秒內(nèi))有人搶答或是倒計(jì)時(shí)到了之后蜂鳴聲開(kāi)始報(bào)警,輸出 SOUND 有效電平為高。 生成模塊如圖 4.3(1)所示: 圖4-3 報(bào)警模塊(1) 的模塊圖 其仿真波形圖如圖 4.4 所示: 圖4-4 報(bào)警模塊(1)的仿真波形 (2) 具有犯

28、規(guī)設(shè)置電路對(duì)提前搶答和超時(shí)搶答者,則報(bào)警并顯示組別。其中, S、S1、S2、S3表示四個(gè)按鍵,CLEAR是復(fù)位控制端,WARNS是警告信號(hào)。 圖 4-5 報(bào)警模塊(2)的模塊圖 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 9 仿真波形如圖所示: 圖 4-6 報(bào)警模塊(2)的仿真波形 4.1.3 計(jì)分模塊的設(shè)計(jì) 本模塊主要實(shí)現(xiàn)題目中的最后一個(gè)要求。設(shè)置一個(gè)計(jì)分電路,每組開(kāi)始預(yù)置 10 分,由主持人記分,答對(duì)一次加 1 分,答錯(cuò)一次減 1 分。CLK 是一個(gè)時(shí)鐘信號(hào), CLR 是復(fù)位信號(hào),ADD 與 SUB 是加減控制端,CHOOSE 是選擇組別,用以控制 加減組別。本設(shè)計(jì)是

29、選用 BCD 碼(即用四位二進(jìn)制數(shù)來(lái)表示一位十進(jìn)制)來(lái)顯示 計(jì)數(shù)。aa0、aa1 是顯示計(jì)分的個(gè)位和十位。bb0、bb1、cc0、cc1、dd0、dd1 其功 能和 aa0、aa1 相同。生成模塊圖如右圖: 圖 4-7 計(jì)分模塊的模塊圖 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 10 仿真波形如圖 4.8 所示: 圖 4-8 計(jì)分模塊的仿真波形圖 4.2 其他模塊的設(shè)計(jì) 4.2.1 譯碼模塊的設(shè)計(jì) 將搶答過(guò)程中鎖存的BCD碼轉(zhuǎn)換成7段碼用于LED的顯示。在程序設(shè)計(jì)中, INSTATES 代表七個(gè)輸入,QOUT 七個(gè)輸出端。生成的模塊圖如圖4.9所示: 圖 4-9 譯碼模

30、塊的模塊圖 4.2.2 定時(shí)模塊的設(shè)計(jì) 這個(gè)模塊中主要實(shí)現(xiàn)搶答過(guò)程中的計(jì)時(shí)功能,在搶答開(kāi)始后進(jìn)行N秒的倒計(jì)時(shí),并且 在N秒倒計(jì)時(shí)后無(wú)人搶答的情況下顯示超時(shí)并輸出信號(hào)至WARN報(bào)警,或者只要N秒內(nèi)有 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 11 人搶答,由搶答鑒別模塊輸出的STOP信號(hào)控制停止計(jì)時(shí),并顯示優(yōu)先搶答者的搶答時(shí)刻, 輸出一個(gè)信號(hào)經(jīng)WARN傳至“搶答鑒別系統(tǒng)”,鎖存不再讓選手搶答。這個(gè)模塊的輸入端有 時(shí)鐘信號(hào)CLK、系統(tǒng)復(fù)位信號(hào)CLEAR和一個(gè)STOP輸入信號(hào);輸出端有秒時(shí)間狀態(tài)顯示信 號(hào)高位HIGN和低位LOW,無(wú)人搶答時(shí)計(jì)時(shí)中止警報(bào)信號(hào)WARN。 生成的模

31、塊圖如圖4.10所示: 圖4-10 定時(shí)模塊的模塊圖 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 12 4.2.3 動(dòng)態(tài)顯示模塊的設(shè)計(jì): 即掃描顯示功能。在初始狀態(tài)時(shí),各組計(jì)分給出一個(gè)固定的值并將它掃描顯示在屏幕 上,當(dāng)計(jì)分或者要顯示的數(shù)據(jù)發(fā)生變化時(shí),再次掃描并顯示出來(lái)。其模塊圖如下所示: MUX18 aa030 aa130 bb030 bb130 yy030 cc030 yy130 cc130 dd030 dd130 CHOOSE30 圖 4-10 動(dòng)態(tài)顯示模塊的模塊圖 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 13 5 總結(jié) 本設(shè)計(jì)使用 VHD

32、L 語(yǔ)言,對(duì)搶答器的每一個(gè)模塊進(jìn)行分析、設(shè)計(jì)、編譯,并在 QUARTUSII6.0 軟件的支持下,對(duì)其進(jìn)行仿真。 在本文章主要講述了搶答器的搶答、計(jì)分和報(bào)警的功能。搶答模塊包括了主持人的控 制、信號(hào)鎖存等功能。通過(guò)這個(gè)模塊,對(duì)后續(xù)的定時(shí)、顯示模塊提供一個(gè)開(kāi)端,引導(dǎo) 。 計(jì)分功能,是實(shí)現(xiàn)題目中加分減分的功能。報(bào)警主要是對(duì)提前搶答或者答題超時(shí)等違規(guī)狀 況提供一個(gè)警告信號(hào)。在對(duì)這三個(gè)模塊的設(shè)計(jì)中,遇到幾個(gè)難題。主要是對(duì)VHDL的語(yǔ)言 設(shè)計(jì)的遺忘,通過(guò)查閱課本以及軟件調(diào)試逐一解決。在對(duì)各模塊進(jìn)行編程時(shí),模塊之間的 鏈接是很重要的。要注意各模塊之間的連接關(guān)系。 通過(guò)這次畢業(yè)設(shè)計(jì)我發(fā)現(xiàn)自己的不足。首先,

33、感覺(jué)簡(jiǎn)單,以為利用學(xué)過(guò)的課程做應(yīng) 該沒(méi)什么問(wèn)題??紤]不周全,導(dǎo)致系統(tǒng)功能設(shè)定時(shí)遇到不少困難。也耽擱了不少時(shí)間。其 次,我發(fā)現(xiàn)自己對(duì)課本知識(shí)不是太熟悉,對(duì)開(kāi)發(fā)工具的利用掌握的也不是很熟練,導(dǎo)致在 編程、仿真時(shí)遇到不少麻煩。最后,由于大學(xué)期間接觸電腦的機(jī)會(huì)不是太多,對(duì)基本的 Word文檔的掌握不是很熟練,在對(duì)論文的格式進(jìn)行修改時(shí)花費(fèi)了不少時(shí)間。這次設(shè)計(jì)也 使我意識(shí)到,理論與時(shí)間之間的距離有多大。深刻體會(huì)到“紙上得來(lái)終覺(jué)淺,絕知此事要 躬行”這句古話的含義了。在以后的學(xué)習(xí)生活中,我會(huì)盡量彌補(bǔ)我在這方面的欠缺和不足。 由于本人能力有限,設(shè)計(jì)還有許多不足之處,還請(qǐng)老師給予指點(diǎn)。 基于 VHDL 的數(shù)字式

34、競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 14 參考文獻(xiàn) 1. 李國(guó)洪,沈明山主編.EDA 技術(shù)與實(shí)驗(yàn)M.機(jī)械工業(yè)出版社,2008:2-11. 2. 李欣,張海燕主編,VHDL 數(shù)字系統(tǒng)設(shè)計(jì)M.科學(xué)出版社,2009:2830. 3. 皺彥,莊嚴(yán),皺寧等編著,EDA 技術(shù)與數(shù)字系統(tǒng)設(shè)計(jì)M.電子工業(yè)出版社:6976. 4. 劉開(kāi)緒.數(shù)字是搶答器的設(shè)計(jì)與實(shí)現(xiàn)J.電子工程師.2005.9:69-71. 5. 汪國(guó)強(qiáng).EDA 技術(shù)與應(yīng)用M.電子工業(yè)出版社.2006.60-76. 6. 馮祥.可編程邏輯器件在數(shù)字系統(tǒng)中的應(yīng)用J.國(guó)外電子元器.2001(5):58-59. 7.高曙光.可編程邏輯器件原理、開(kāi)

35、發(fā)與應(yīng)用M.西安電子科技.2002:122-125 . 8. 章忠全.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì)M.中國(guó)電力出版社.1999:95-99. 9. 胡丹.基于 VHDL 智力競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)J.現(xiàn)代機(jī)械.2007(3):54-55. 10.劉延文,唐慶玉,段玉生.EDA 技術(shù)是實(shí)現(xiàn)電工學(xué)研究性教學(xué)的良好手段J.實(shí)驗(yàn)設(shè)計(jì)與管理.2006(8): 65-68. 11. 郭勇.EDA 技術(shù)基礎(chǔ)(第 2 版)M.機(jī)械工業(yè)出版社.2005.314-320. 12. 常青,陳輝煌.可編程專用集成電路及其應(yīng)用與設(shè)計(jì)實(shí)踐經(jīng)驗(yàn)M.國(guó)防工業(yè)出版社.1998:38-45. 13. Enoch O Hwang.D

36、igital Logic and Microprocessor Design with VHDLM. Thomson-Engineering.2005: 10-15. 14. Sudhakar Yalamanchili .Introductory VHDL :from simulation to synthesisM.Prentice Hall.2001: 56-60. 15. On a Network Security Model for the Secure Information Flow on Multilevel Secure Network .J.Ki- Yong Hong.Chu

37、l Kim.2001: 364-369. 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 15 致謝 經(jīng)過(guò)幾個(gè)月的時(shí)間,畢業(yè)設(shè)計(jì)已經(jīng)結(jié)束,本設(shè)計(jì)師在劉 老師的指導(dǎo)下完成的。我本 來(lái)是一個(gè)散漫的人,若不是老師督促與耐心指導(dǎo)我不可能完成的這么及時(shí)。在此首先謝謝 劉老師。 在整個(gè)設(shè)計(jì)過(guò)程中,我身邊的同學(xué)特別是我的舍友,他們?yōu)槲姨峁╇娔X、網(wǎng)絡(luò),使我 查找資料更加方便。在此還要感謝實(shí)驗(yàn)室的老師們,謝謝你們?cè)谖倚枰臅r(shí)候?yàn)槲掖蜷_(kāi)實(shí) 驗(yàn)室的門,謝謝你們的信任。我的同組成員也給了我很大的幫助。幫我解決了很多細(xì)節(jié)上 的問(wèn)題,使我能夠更好更順利的完成我的畢業(yè)設(shè)計(jì),謝謝你們。 通過(guò)做畢業(yè)設(shè)計(jì)我學(xué)到

38、了很多,無(wú)論是理論知識(shí)還是實(shí)際操作,都讓我受益匪淺。這 些很大程度上得益于幫助過(guò)我的老師和同學(xué),真的非常感謝你們。 最后,我還是要向百忙之中給我莫大幫助的劉老師表示感謝。還要 感謝的是我親愛(ài) 的青島工學(xué)院以及學(xué)院的每一位領(lǐng)導(dǎo)、老師和同學(xué)。謝謝你們! 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 16 附錄 源代碼 1、搶答鑒別模塊源代碼 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY LOCK IS PORT (CLK,CLEAR:IN STD_LOGIC; WARN : IN STD_LOGIC; S0,S1,S2,S3

39、 : IN Std_Logic ; STATES : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ; STOP : OUT STD_LOGIC ; LED : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END LOCK ; ARCHITECTURE ONE OF LOCK IS BEGIN PROCESS(CLEAR,CLK,S0,S1,S2,S3 ) BEGIN IF (CLEAR = 1)THEN STATESLEDE LEDE LEDE LEDE LEDE =“0000“; WARNS=1; END CASE ; ELSE LEDE=“00

40、00“;WARNS=0; END IF; END PROCESS; END ONE; 3、計(jì)分模塊 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY SCORE IS PORT(CLK,SUB,ADD,CLR:IN STD_LOGIC; CHOOSE: IN STD_LOGIC_VECTOR(3 DOWNTO 0); aa0,aa1,bb0,bb1,cc0,cc1,dd0,dd1: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0); END SCORE; A

41、RCHITECTURE RTL OF SCORE IS 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 19 BEGIN PROCESS( CHOOSE , CLK ,SUB , ADD ,CLR) BEGIN IF(CLR=1) THEN aa1=“0001“;aa0=“0000“; bb1=“0001“;bb0=“0000“; cc1=“0001“;cc0=“0000“; dd1=“0001“;dd0=“0000“; ELSIF(CLKEVENT AND CLK=1) THEN IF(ADD=1) THEN IF(CHOOSE=“0001“) THEN IF(aa0=“1

42、001“) THEN aa0=“0000“; IF(aa1=“1001“) THEN aa1=“0000“; ELSE aa1=aa1+1; END IF; ELSE aa0=aa0+1; END IF; ELSIF (CHOOSE=“0010“) THEN IF(bb0=“1001“) THEN bb0=“0000“; IF(bb1=“1001“) THEN bb1=“0000“; ELSE bb1=bb1+1; END IF; ELSE bb0 = bb0+1; END IF; 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 20 ELSIF( CHOOSE=“0100“

43、) THEN IF(cc0=“1001“) THEN cc0=“0000“; IF(cc1=“1001“) THEN cc1=“0000“; ELSE cc1=cc1+1; END IF; ELSE END IF; ELSIF (CHOOSE=“1000“) THEN IF(dd0=“1001“) THEN dd0=“0000“; IF(dd1=“1001“) THEN dd1=“0000“; ELSE dd1=dd1+1; END IF; ELSE dd0=dd0+1; END IF; END IF; ELSIF(SUB=1) THEN IF(CHOOSE=“0001“) THEN IF(a

44、a0=“0000“) THEN IF(aa1=“0000“) THEN aa0=“0000“; aa1=“0000“; ELSE aa0=“1001“; aa1=aa1-1; END IF; 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 21 ELSE aa0=aa0-1; END IF; ELSIF (CHOOSE=“0010“) THEN IF(bb0=“0000“) THEN IF(bb1=“0000“) THEN bb0=“0000“; bb1=“0000“; ELSE bb0=“1001“; bb1=bb1-1; END IF; ELSE bb0=bb0-1; E

45、ND IF; ELSIF(CHOOSE=“0100“) THEN IF(cc0=“0000“) THEN IF(cc1=“0000“) THEN cc0=“0000“; cc1=“0000“; ELSE cc0=“1001“; cc1=cc1-1; END IF; ELSE cc0=cc0-1; END IF; ELSIF(CHOOSE=“1000“) THEN IF(dd0=“0000“) THEN IF(dd1=“0000“) THEN dd0=“0000“; dd1=“0000“; 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 22 ELSE dd0=“1001“;

46、dd1=dd1-1; END IF; ELSE dd0=dd0-1; END IF; END IF; END IF; END IF ; END PROCESS; END ARCHITECTURE ; 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 14 大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)撰寫(xiě)規(guī)范 本科生畢業(yè)設(shè)計(jì)(論文)是學(xué)生在畢業(yè)前提交的一份具有一定研究 價(jià)值和實(shí)用價(jià)值的學(xué)術(shù)資料。它既是本科學(xué)生開(kāi)始從事工程設(shè)計(jì)、科學(xué) 實(shí)驗(yàn)和科學(xué)研究的初步嘗試,也是學(xué)生在教師的指導(dǎo)下,對(duì)所進(jìn)行研究 的適當(dāng)表述,還是學(xué)生畢業(yè)及學(xué)位資格認(rèn)定的重要依據(jù)。畢業(yè)論文撰寫(xiě) 是本科生培養(yǎng)過(guò)程中的基本訓(xùn)練環(huán)節(jié)之一,應(yīng)

47、符合國(guó)家及各專業(yè)部門制 定的有關(guān)標(biāo)準(zhǔn),符合漢語(yǔ)語(yǔ)法規(guī)范。指導(dǎo)教師應(yīng)加強(qiáng)指導(dǎo),嚴(yán)格把關(guān)。 1、論文結(jié)構(gòu)及要求 論文包括題目、中文摘要、外文摘要、目錄、正文、參考文獻(xiàn)、致 謝和附錄等幾部分。 1.1 題目 論文題目應(yīng)恰當(dāng)、準(zhǔn)確地反映論文的主要研究?jī)?nèi)容。不應(yīng)超過(guò) 25 字, 原則上不得使用標(biāo)點(diǎn)符號(hào),不設(shè)副標(biāo)題。 1.2 摘要與關(guān)鍵詞 1.2.1 摘要 本科生畢業(yè)設(shè)計(jì)(論文)的摘要均要求用中、英兩種文字給出,中 文在前。 摘要應(yīng)扼要敘述論文的研究目的、研究方法、研究?jī)?nèi)容和主要結(jié)果 或結(jié)論,文字要精煉,具有一定的獨(dú)立性和完整性,摘要一般應(yīng)在 300 字左右。摘要中不宜使用公式、圖表,不標(biāo)注引用文獻(xiàn)編號(hào),

48、避免將摘 要寫(xiě)成目錄式的內(nèi)容介紹。 1.2.2 關(guān)鍵詞 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 15 關(guān)鍵詞是供檢索用的主題詞條,應(yīng)采用能覆蓋論文主要內(nèi)容的通用 技術(shù)詞條(參照相應(yīng)的技術(shù)術(shù)語(yǔ)標(biāo)準(zhǔn)),一般列 35 個(gè),按詞條的外延 層次從大到小排列,應(yīng)在摘要中出現(xiàn)。 1.3 目錄 目錄應(yīng)獨(dú)立成頁(yè),包括論文中全部章、節(jié)的標(biāo)題及頁(yè)碼。 1.4 論文正文 論文正文包括緒論、論文主體及結(jié)論等部分。 1.4.1 緒論 緒論一般作為論文的首篇。緒論應(yīng)說(shuō)明選題的背景、目的和意義, 國(guó)內(nèi)外文獻(xiàn)綜述以及論文所要研究的主要內(nèi)容。 文管類論文的緒論是畢業(yè)論文的開(kāi)頭部分,一般包括說(shuō)明論文寫(xiě)作

49、的目的與意義,對(duì)所研究問(wèn)題的認(rèn)識(shí)以及提出問(wèn)題。緒論只是文章的開(kāi) 頭,不必寫(xiě)章號(hào)。 畢業(yè)設(shè)計(jì)(論文)緒論部分字?jǐn)?shù)不多于全部論文字?jǐn)?shù)的 1/4。 1.4.2 論文主體 論文主體是論文的主要部分,要求結(jié)構(gòu)合理,層次清楚,重點(diǎn)突出, 文字簡(jiǎn)練、通順。 論文主體的內(nèi)容要求參照大學(xué)本科生畢業(yè)設(shè)計(jì)(論文) 的規(guī)定第五章。 論文主體各章后應(yīng)有一節(jié)“本章小結(jié)”。 1.4.3 結(jié)論 結(jié)論作為單獨(dú)一章排列,但不加章號(hào)。 結(jié)論是對(duì)整個(gè)論文主要成果的歸納,要突出設(shè)計(jì)(論文)的創(chuàng)新點(diǎn), 以簡(jiǎn)練的文字對(duì)論文的主要工作進(jìn)行評(píng)價(jià),一般為 4001 000 字。 1.5 參考文獻(xiàn) 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-

50、搶答、計(jì)分和報(bào)警 16 參考文獻(xiàn)是論文不可缺少的組成部分,它反映了論文的取材來(lái)源和 廣博程度。論文中要注重引用近期發(fā)表的與論文工作直接有關(guān)的學(xué)術(shù)期 刊類文獻(xiàn)。對(duì)理工類論文,參考文獻(xiàn)數(shù)量一般應(yīng)在 15 篇以上,其中學(xué)術(shù) 期刊類文獻(xiàn)不少于 8 篇,外文文獻(xiàn)不少于 3 篇;對(duì)文科類、管理類論文, 參考文獻(xiàn)數(shù)量一般為 1020 篇,其中學(xué)術(shù)期刊類文獻(xiàn)不少于 8 篇,外文 文獻(xiàn)不少于 3 篇。 在論文正文中必須有參考文獻(xiàn)的編號(hào),參考文獻(xiàn)的序號(hào)應(yīng)按在正文中出現(xiàn)的順 序排列。 產(chǎn)品說(shuō)明書(shū)、各類標(biāo)準(zhǔn)、各種報(bào)紙上刊登的文章及未公開(kāi)發(fā)表的研 究報(bào)告(著名的內(nèi)部報(bào)告如 PB、AD 報(bào)告及著名大公司的企業(yè)技術(shù)報(bào)告等

51、除外)不宜做為參考文獻(xiàn)引用。但對(duì)于工程設(shè)計(jì)類論文,各種標(biāo)準(zhǔn)、規(guī) 范和手冊(cè)可作為參考文獻(xiàn)。 引用網(wǎng)上參考文獻(xiàn)時(shí),應(yīng)注明該文獻(xiàn)的準(zhǔn)確網(wǎng)頁(yè)地址,網(wǎng)上參考文 獻(xiàn)不包含在上述規(guī)定的文獻(xiàn)數(shù)量之內(nèi)。 1.6 致謝 對(duì)導(dǎo)師和給予指導(dǎo)或協(xié)助完成論文工作的組織和個(gè)人表示感謝。內(nèi) 容應(yīng)簡(jiǎn)潔明了、實(shí)事求是,避免俗套。 1.7 附錄 如開(kāi)題報(bào)告、文獻(xiàn)綜述、外文譯文及外文文獻(xiàn)復(fù)印件、公式的推導(dǎo)、程 序流程圖、圖紙、數(shù)據(jù)表格等有些不宜放在正文中,但有參考價(jià)值的內(nèi)容 可編入論文的附錄中。 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 17 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警

52、10 2、論文書(shū)寫(xiě)規(guī)定 2.1 論文正文字?jǐn)?shù) 理工類 論文正文字?jǐn)?shù)不少于 20 000 字。 文管類 論文正文字?jǐn)?shù) 12 00020 000 字。其中漢語(yǔ)言文學(xué)專業(yè)不少于 7 000 字。 外語(yǔ)類 論文正文字?jǐn)?shù) 8 00010 000 個(gè)外文單詞。 藝術(shù)類 論文正文字?jǐn)?shù) 3 0005 000 字。 2.2 論文書(shū)寫(xiě) 本科生畢業(yè)論文用 B5 紙計(jì)算機(jī)排版、編輯與雙面打印輸出。 論文版面設(shè)置為:畢業(yè)論文 B5 紙、縱向、為橫排、不分欄,上下頁(yè)邊距分別為 2.5cm 和 2cm,左 右頁(yè)邊距分別為 2.4cm 和 2cm,對(duì)稱頁(yè)邊距、左側(cè)裝訂并裝訂線為 0cm、奇偶頁(yè)不同、無(wú)網(wǎng)格。論文 正文滿頁(yè)為

53、29 行,每行 33 個(gè)字,字號(hào)為小四號(hào)宋體,每頁(yè)版面字?jǐn)?shù)為 957 個(gè),行間距為固定值 20 磅。 頁(yè)眉。頁(yè)眉應(yīng)居中置于頁(yè)面上部。單數(shù)頁(yè)眉的文字為“章及標(biāo)題”;雙數(shù)頁(yè)眉的文字 為“大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)”。頁(yè)眉的文字用五號(hào)宋體,頁(yè)眉文字下面為 2 條橫線 (兩條橫線的長(zhǎng)度與版芯尺寸相同,線粗 0.5 磅)。頁(yè)眉、頁(yè)腳邊距分別為 1.8cm 和 1.7cm。 頁(yè)碼。頁(yè)碼用小五號(hào)字,居中標(biāo)于頁(yè)面底部。摘要、目錄等文前部分的頁(yè)碼用羅馬數(shù) 字單獨(dú)編排,正文以后的頁(yè)碼用阿拉伯?dāng)?shù)字編排。 2.3 摘要 中文摘要一般為 300 字左右,外文摘要應(yīng)與中文摘要內(nèi)容相同,在語(yǔ)法、用詞和書(shū)寫(xiě) 上應(yīng)正確無(wú)誤,摘

54、要頁(yè)勿需寫(xiě)出論文題目。中、外文摘要應(yīng)各占一頁(yè),編排裝訂時(shí)放置正 文前,并且中文在前,外文在后。 2.4 目錄 目錄應(yīng)包括論文中全部章節(jié)的標(biāo)題及頁(yè)碼,含中、外文摘要;正文章、節(jié)題目; 參考文獻(xiàn);致謝;附錄。 正文章、節(jié)題目(理工類要求編寫(xiě)到第 3 級(jí)標(biāo)題,即.。文科、管理類可視論 文需要進(jìn)行,編寫(xiě)到 23 級(jí)標(biāo)題。) 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 11 2.5 論文正文 2.5.1 章節(jié)及各章標(biāo)題 論文正文分章、節(jié)撰寫(xiě),每章應(yīng)另起一頁(yè)。 各章標(biāo)題要突出重點(diǎn)、簡(jiǎn)明扼要。字?jǐn)?shù)一般在 15 字以內(nèi),不得使用標(biāo)點(diǎn)符號(hào)。標(biāo)題 中盡量不用英文縮寫(xiě)詞,對(duì)必須采用者,應(yīng)使用本

55、行業(yè)的通用縮寫(xiě)詞。 2.5.2 層次 層次以少為宜,根據(jù)實(shí)際需要選擇。層次代號(hào)格式見(jiàn)表 1 和表 2。 表 1 理工類論文層次代號(hào)及說(shuō)明 層次名 稱 示 例說(shuō) 明 章第 1 章 章序及章名居中排, 章序用阿拉伯?dāng)?shù)字 節(jié) 1.1 條1.1.1 題序頂格書(shū)寫(xiě),與 標(biāo)題間空 1 字,下 面闡述內(nèi)容另起一 段 款 1.1.1.1 題序頂格書(shū)寫(xiě),與標(biāo) 題間空 1 字,下面闡 述內(nèi)容在標(biāo)題后空 1 字接排 項(xiàng) (1) 題序空2 字書(shū)寫(xiě),以 下內(nèi)容接排,有標(biāo)題 者,闡述內(nèi)容在標(biāo)題 后空1 字 版心左邊線 版心右邊線 表 2 文管類論文層次代號(hào)及說(shuō)明 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分

56、和報(bào)警 12 章 節(jié) 條 款 項(xiàng) 一、 (一) 1. (1) 居中書(shū)寫(xiě) 空 2 字書(shū)寫(xiě) 空 2 字書(shū)寫(xiě) 空 2 字書(shū)寫(xiě) 空 2 字書(shū)寫(xiě) 版心左邊線 版心右邊線 各層次題序及標(biāo)題不得置于頁(yè)面的最后一行(孤行)。 2.6 參考文獻(xiàn) 正文中引用文獻(xiàn)標(biāo)示應(yīng)置于所引內(nèi)容最末句的右上角,用小五號(hào)字體。所引文獻(xiàn)編號(hào) 用阿拉伯?dāng)?shù)字置于方括號(hào)“ ”中,如“二次銑削1”。當(dāng)提及的參考文獻(xiàn)為文中直接 說(shuō)明時(shí),其序號(hào)應(yīng)該與正文排齊,如“由文獻(xiàn)8,1014可知”。 經(jīng)濟(jì)、管理類論文引用文獻(xiàn),若引用的是原話,要加引號(hào),一般寫(xiě)在段中;若引的不 是原文只是原意,文前只需用冒號(hào)或逗號(hào),而不用引號(hào)。在參考文獻(xiàn)之外,若有注釋的話,

57、 建議采用夾注,即緊接文句,用圓括號(hào)標(biāo)明。 不得將引用文獻(xiàn)標(biāo)示置于各級(jí)標(biāo)題處。 參考文獻(xiàn)書(shū)寫(xiě)格式應(yīng)符合 GB77141987文后參考文獻(xiàn)著錄規(guī)則。常用參考文獻(xiàn) 編寫(xiě)項(xiàng)目和順序應(yīng)按文中引用先后次序規(guī)定如下: 著作圖書(shū)文獻(xiàn) 序號(hào)作者書(shū)名(版次)出版地:出版者,出版年:引用部分起止頁(yè) 第一版應(yīng)省略 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 13 翻譯圖書(shū)文獻(xiàn) 序號(hào)作者書(shū)名(版次)譯者出版地: 出版者,出版年:引用部分起止頁(yè) 第一版應(yīng)省略 學(xué)術(shù)刊物文獻(xiàn) 序號(hào)作者文章名學(xué)術(shù)刊物名年,卷(期):引用部分起止頁(yè) 學(xué)術(shù)會(huì)議文獻(xiàn) 序號(hào)作者文章名編者名會(huì)議名稱,會(huì)議地址,年份出版地,出版者

58、, 出版年:引用部分起止頁(yè) 學(xué)位論文類參考文獻(xiàn) 序號(hào)研究生名學(xué)位論文題目出版地學(xué)校(或研究單位)及學(xué)位論文級(jí)別答 辯年份:引用部分起止頁(yè) 西文文獻(xiàn)中第一個(gè)詞和每個(gè)實(shí)詞的第一個(gè)字母大寫(xiě),余者小寫(xiě);俄文文獻(xiàn)名第一個(gè)詞 和專有名詞的第一個(gè)字母大寫(xiě),余者小寫(xiě);日文文獻(xiàn)中的漢字須用日文漢字,不得用中文 漢字、簡(jiǎn)化漢字代替。文獻(xiàn)中的外文字母一律用正體。 作者為多人時(shí),一般只列出前 3 名作者,不同作者姓名間用逗號(hào)相隔。外文姓名按國(guó) 際慣例,將作者名的縮寫(xiě)置前,作者姓置后。 學(xué)術(shù)會(huì)議若出版論文集者,可在會(huì)議名稱后加上“論文集”字樣。未出版論文集者省 去“出版者”、“出版年”兩項(xiàng)。會(huì)議地址與出版地相同者省略“

59、出版地”。會(huì)議年份與 出版年相同者省略“出版年”。 學(xué)術(shù)刊物文獻(xiàn)無(wú)卷號(hào)的可略去此項(xiàng),直接寫(xiě)“年,(期)”。 參考文獻(xiàn)序號(hào)頂格書(shū)寫(xiě),不加括號(hào)與標(biāo)點(diǎn),其后空一格寫(xiě)作者名。序號(hào)應(yīng)按文獻(xiàn)在論文中的被引 用順序編排。換行時(shí)與作者名第一個(gè)字對(duì)齊。若同一文獻(xiàn)中有多處被引用,則要寫(xiě)出相應(yīng)引用頁(yè)碼, 各起止頁(yè)碼間空一格,排列按引用順序,不按頁(yè)碼順序。 參考文獻(xiàn)書(shū)寫(xiě)格式示例見(jiàn)附錄 1。 2.7 名詞術(shù)語(yǔ) 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 14 科技名詞術(shù)語(yǔ)及設(shè)備、元件的名稱,應(yīng)采用國(guó)家標(biāo)準(zhǔn)或部頒標(biāo)準(zhǔn)中規(guī)定的術(shù)語(yǔ)或名稱。 標(biāo)準(zhǔn)中未規(guī)定的術(shù)語(yǔ)要采用行業(yè)通用術(shù)語(yǔ)或名稱。全文名詞術(shù)語(yǔ)必須統(tǒng)

60、一。一些特殊名詞 或新名詞應(yīng)在適當(dāng)位置加以說(shuō)明或注解。 文管類專業(yè)技術(shù)術(shù)語(yǔ)應(yīng)為常見(jiàn)、常用的名詞。 采用英語(yǔ)縮寫(xiě)詞時(shí),除本行業(yè)廣泛應(yīng)用的通用縮寫(xiě)詞外,文中第一次出現(xiàn)的縮寫(xiě)詞應(yīng) 該用括號(hào)注明英文全文。 2.8 計(jì)量單位 物理量計(jì)量單位及符號(hào)一律采用中華人民共和國(guó)法定計(jì)量單位(GB31003102 1993,見(jiàn)附錄 2),不得使用非法定計(jì)量單位及符號(hào)。計(jì)量單位符號(hào),除用人名命名的單 位第一個(gè)字母用大寫(xiě)之外,一律用小寫(xiě)字母。 非物理單位(如件、臺(tái)、人、元、次等)可以采用漢字與單位符號(hào)混寫(xiě)的方式,如 “萬(wàn) tkm”,“t/(人a)”等。 文稿敘述中不定數(shù)字之后允許用中文計(jì)量單位符號(hào),如“幾千克至 1 0

61、00kg”。 表達(dá)時(shí)刻時(shí)應(yīng)采用中文計(jì)量單位,如“上午 8 點(diǎn) 45 分”,不能寫(xiě)成“8h45min”。 計(jì)量單位符號(hào)一律用正體。 2.9 外文字母的正、斜體用法 按照 GB310031021986 及 GB71591987 的規(guī)定使用,即物理量符號(hào)、物理常量、 變量符號(hào)用斜體,計(jì)量單位等符號(hào)均用正體。 2.10 數(shù)字 按國(guó)家語(yǔ)言文字工作委員會(huì)等七單位 1987 年發(fā)布的關(guān)于出版物上數(shù)字用法的規(guī)定 ,除習(xí)慣用中文數(shù)字表示的以外,一般均采用阿拉伯?dāng)?shù)字(參照附錄 3)。 2.11 公式 原則上居中書(shū)寫(xiě)。若公式前有文字(如“解”、“假定”等),文字頂格書(shū)寫(xiě),公式 仍居中寫(xiě)。公式末不加標(biāo)點(diǎn)。 公式序號(hào)按

62、章編排,如第 1 章第一個(gè)公式序號(hào)為“(1-1)”,附錄 2 中的第一個(gè)公 式為(-1)等。 文中引用公式時(shí),一般用“見(jiàn)式(1-1)”或“由公式(1-1)”。 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 15 公式中用斜線表示“除”的關(guān)系時(shí),若分母部分為乘積應(yīng)采用括號(hào),以免含糊不清, 如a/(bcosx)。通?!俺恕钡年P(guān)系在前,如acosx/b而不寫(xiě)(a/b)cosx。 2.12 插表 表格不加左、右邊線。 表序一般按章編排,如第 1 章第一個(gè)插表的序號(hào)為“表 11”等。表序與表名之間 空一格,表名中不允許使用標(biāo)點(diǎn)符號(hào),表名后不加標(biāo)點(diǎn)。表序與表名置于表上,居中排寫(xiě) (見(jiàn)附

63、錄 4)。 表頭設(shè)計(jì)應(yīng)簡(jiǎn)單明了,盡量不用斜線。表頭中可采用化學(xué)符號(hào)或物理量符號(hào)。 全表如用同一單位,將單位符號(hào)移到表頭右上角,加圓括號(hào)(見(jiàn)附錄 4 中的例 2)。 表中數(shù)據(jù)應(yīng)正確無(wú)誤,書(shū)寫(xiě)清楚。數(shù)字空缺的格內(nèi)加“”字線(占 2 個(gè)數(shù)字寬度)。 表內(nèi)文字和數(shù)字上、下或左、右相同時(shí),不允許用“”、“同上”之類的寫(xiě)法,可采用 通欄處理方式(見(jiàn)附錄 4 中的例 2)。 表內(nèi)文字說(shuō)明不加標(biāo)點(diǎn)。 文管類的插表在表下一般根據(jù)需要可增列補(bǔ)充材料、注解、附記、資料來(lái)源、某些指 標(biāo)的計(jì)算方法等。 表內(nèi)文字說(shuō)明,起行空一格,轉(zhuǎn)行頂格,句末不加標(biāo)點(diǎn)。表題用五號(hào)字,表內(nèi)文字及 表的說(shuō)明文字均用五號(hào)字,中文用宋體。 表格

64、容量較大,必要時(shí)表格也可分為兩段或多段(這只能發(fā)生在轉(zhuǎn)頁(yè)時(shí)),轉(zhuǎn)頁(yè)分段 后的每一續(xù)表的表頭都應(yīng)重新排字,重排表頭的續(xù)表上方右側(cè)應(yīng)注明(續(xù)表)字樣。 2.13 插圖 插圖應(yīng)與文字緊密配合,文圖相符,技術(shù)內(nèi)容正確。 2.13.1 制圖標(biāo)準(zhǔn) 插圖應(yīng)符合技術(shù)制圖及相應(yīng)專業(yè)制圖的規(guī)定。 機(jī)械工程圖:采用第一角投影法,應(yīng)符合附錄 5 所列有關(guān)標(biāo)準(zhǔn)的規(guī)定。 電氣圖:圖形符號(hào)、文字符號(hào)等應(yīng)符合附錄 6 所列有關(guān)標(biāo)準(zhǔn)的規(guī)定。 流程圖:符合國(guó)家標(biāo)準(zhǔn)。 基于 VHDL 的數(shù)字式競(jìng)賽搶答器的設(shè)計(jì)與實(shí)現(xiàn)-搶答、計(jì)分和報(bào)警 16 對(duì)無(wú)規(guī)定符號(hào)的圖形應(yīng)采用該行業(yè)的常用畫(huà)法。 2.13.2 圖題及圖中說(shuō)明 每個(gè)圖均應(yīng)有圖題(由圖號(hào)和圖名組成)。圖號(hào)按章編排,如第 1 章第一圖的圖號(hào)為 “圖 1-1”等。圖題置于圖下。有圖注或其他說(shuō)明時(shí)應(yīng)置于圖題之上。圖名在圖號(hào)之后空 一格排寫(xiě)。引用圖應(yīng)說(shuō)明出處,在圖題右上角加引用文獻(xiàn)編號(hào)。圖中若有分圖時(shí),分圖號(hào) 用 a)、b)等置于分圖之下。 圖中各部分說(shuō)明應(yīng)采用中文(引用的外文圖除外)或數(shù)字項(xiàng)號(hào),各項(xiàng)文字說(shuō)明置于圖 題之上(有分圖題者,置于分圖題之上)。 圖題用五號(hào)字,圖內(nèi)文

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!