九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

第4章 數(shù)字電路系統(tǒng)設計

上傳人:搶*** 文檔編號:123683521 上傳時間:2022-07-22 格式:PPT 頁數(shù):130 大小:1.98MB
收藏 版權申訴 舉報 下載
第4章 數(shù)字電路系統(tǒng)設計_第1頁
第1頁 / 共130頁
第4章 數(shù)字電路系統(tǒng)設計_第2頁
第2頁 / 共130頁
第4章 數(shù)字電路系統(tǒng)設計_第3頁
第3頁 / 共130頁

下載文檔到電腦,查找使用更方便

16 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《第4章 數(shù)字電路系統(tǒng)設計》由會員分享,可在線閱讀,更多相關《第4章 數(shù)字電路系統(tǒng)設計(130頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計v4.1 4.1 數(shù)字電路系統(tǒng)設計概述數(shù)字電路系統(tǒng)設計概述 v4.2 4.2 常用中規(guī)模數(shù)字邏輯電路的應用常用中規(guī)模數(shù)字邏輯電路的應用 v4.3 4.3 鎖相環(huán)及頻率合成器的應用鎖相環(huán)及頻率合成器的應用 v4.4 4.4 常用大規(guī)模數(shù)字芯片常用大規(guī)模數(shù)字芯片 第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計4.1 4.1 數(shù)字電路系統(tǒng)設計概述數(shù)字電路系統(tǒng)設計概述 v本節(jié)主要介紹數(shù)字電路系統(tǒng)設計的兩個本節(jié)主

2、要介紹數(shù)字電路系統(tǒng)設計的兩個基本問題:基本問題:數(shù)字電路系統(tǒng)的設計方法數(shù)字電路系統(tǒng)的設計方法數(shù)字電路系統(tǒng)的設計步驟。數(shù)字電路系統(tǒng)的設計步驟。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計4.1.1 4.1.1 數(shù)字電路系統(tǒng)的結構數(shù)字電路系統(tǒng)的結構v 如圖如圖4.1.14.1.1所示,數(shù)字電路系統(tǒng)所示,數(shù)字電路系統(tǒng)主要由的信息處理電路和控制電主要由的信息處理電路和控制電路兩部分組成。路兩部分組成。圖中,輸入信號可以是模擬量,圖中,輸入信號可以是模擬量,如電壓信號、電流信號等,也可如電壓信號、電流信號等,也可以是數(shù)字量,如開關量等;以是數(shù)字量,如開關量等;輸出信號可以是模擬量,如電壓輸出信號可以

3、是模擬量,如電壓信號、電流信號等,也可以是數(shù)信號、電流信號等,也可以是數(shù)字量,如顯示數(shù)據(jù)等;字量,如顯示數(shù)據(jù)等;信息處理電路如信號變換電路,如模數(shù)變換電路、數(shù)模變換電路、運算電路、信息處理電路如信號變換電路,如模數(shù)變換電路、數(shù)模變換電路、運算電路、驅動電路等;驅動電路等;狀態(tài)信號是信息處理電路工作情況的反映,如忙狀態(tài)指示等;狀態(tài)信號是信息處理電路工作情況的反映,如忙狀態(tài)指示等;控制信號由控制電路根據(jù)外部信號的要求或狀態(tài)信號的情況向信息處理電路控制信號由控制電路根據(jù)外部信號的要求或狀態(tài)信號的情況向信息處理電路發(fā)出的控制命令,如量程自動轉換命令等;發(fā)出的控制命令,如量程自動轉換命令等;外部控制信號

4、如人機界面中的控制命令的輸入等。外部控制信號如人機界面中的控制命令的輸入等。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的結構數(shù)字電路系統(tǒng)的結構v 總的來說,數(shù)字電路系統(tǒng)通常是指能完成比較復雜功總的來說,數(shù)字電路系統(tǒng)通常是指能完成比較復雜功能的若干數(shù)字電路的集合。它的規(guī)模差異很大,大的能的若干數(shù)字電路的集合。它的規(guī)模差異很大,大的數(shù)字電路系統(tǒng)可以是數(shù)字電路系統(tǒng)可以是以每秒幾十萬億次的超級計算機以每秒幾十萬億次的超級計算機,小的數(shù)字電路系統(tǒng)可以是只能完成一個簡單功能的流小的數(shù)字電路系統(tǒng)可以是只能完成一個簡單功能的流水燈。水燈。v 歸納起來,一般情況下,它們都可由圖歸納起來,一般情

5、況下,它們都可由圖4.1.14.1.1中的信息中的信息處理電路和控制電路兩部分構成。其中信息處理電路處理電路和控制電路兩部分構成。其中信息處理電路主要完成對輸入信息的采集、調(diào)理、傳輸和處理等工主要完成對輸入信息的采集、調(diào)理、傳輸和處理等工作,從而輸出其它電路所需的輸出信息;控制電路主作,從而輸出其它電路所需的輸出信息;控制電路主要完成協(xié)調(diào)和管理各信息處理單元電路的工作,根據(jù)要完成協(xié)調(diào)和管理各信息處理單元電路的工作,根據(jù)不同的狀態(tài)信號和外部控制信號發(fā)出相應的控制信號不同的狀態(tài)信號和外部控制信號發(fā)出相應的控制信號使各部分電路協(xié)調(diào)一致地完成系統(tǒng)規(guī)定的任務。使各部分電路協(xié)調(diào)一致地完成系統(tǒng)規(guī)定的任務。第

6、第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計4.1.2 4.1.2 數(shù)字電路系統(tǒng)的設計步驟數(shù)字電路系統(tǒng)的設計步驟 v一般來說,數(shù)字電路系統(tǒng)無論其規(guī)模的一般來說,數(shù)字電路系統(tǒng)無論其規(guī)模的大小,其設計步驟大體上是一致的。主大小,其設計步驟大體上是一致的。主要步驟如下:要步驟如下:1 1分析設計要求,明確系統(tǒng)功能和性能指分析設計要求,明確系統(tǒng)功能和性能指標;標;2 2確定系統(tǒng)總體方案;確定系統(tǒng)總體方案;3 3設計各子系統(tǒng)或單元電路;設計各子系統(tǒng)或單元電路;4 4組成系統(tǒng),系統(tǒng)聯(lián)調(diào)和優(yōu)化;組成系統(tǒng),系統(tǒng)聯(lián)調(diào)和優(yōu)化;5.5.系統(tǒng)功能和性能測試;系統(tǒng)功能和性能測試;6 6撰寫設計文件。撰寫設計文件。第第

7、4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計步驟數(shù)字電路系統(tǒng)的設計步驟v1 1分析設計要求,明確系統(tǒng)功能和性能分析設計要求,明確系統(tǒng)功能和性能指標指標數(shù)字電路系統(tǒng)設計工作的第一步是仔細分析數(shù)字電路系統(tǒng)設計工作的第一步是仔細分析設計要求,明確系統(tǒng)的設計任務:系統(tǒng)的功設計要求,明確系統(tǒng)的設計任務:系統(tǒng)的功能要求和技術性能指標要求等。簡言之,必能要求和技術性能指標要求等。簡言之,必須明確做什么,做到什么程度,明確設計關須明確做什么,做到什么程度,明確設計關鍵,同時注意分析每一個細節(jié),盡量考慮得鍵,同時注意分析每一個細節(jié),盡量考慮得周到、完善。周到、完善。第第4 4章章 數(shù)字電路系統(tǒng)

8、設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計步驟數(shù)字電路系統(tǒng)的設計步驟v2 2確定系統(tǒng)總體方案確定系統(tǒng)總體方案 明確設計要求后,應考慮如何實現(xiàn),即采用明確設計要求后,應考慮如何實現(xiàn),即采用哪種電路來完成系統(tǒng)的設計任務。該階段的哪種電路來完成系統(tǒng)的設計任務。該階段的主要任務有方案論證,系統(tǒng)原理方框圖設計主要任務有方案論證,系統(tǒng)原理方框圖設計等。綜合比較各種方案的可行性、性價比或等。綜合比較各種方案的可行性、性價比或設計任務的具體要求等,選擇合適的方案,設計任務的具體要求等,選擇合適的方案,設計相應的系統(tǒng)原理方框圖。一般要求明確設計相應的系統(tǒng)原理方框圖。一般要求明確各方框圖的輸入輸出信號性能指標或要求,

9、各方框圖的輸入輸出信號性能指標或要求,以明確各部分的性能指標劃分。在這個過程以明確各部分的性能指標劃分。在這個過程中,系統(tǒng)的方案選擇與系統(tǒng)原理方框圖的設中,系統(tǒng)的方案選擇與系統(tǒng)原理方框圖的設計往往難分先后,經(jīng)常交叉進行。計往往難分先后,經(jīng)常交叉進行。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計步驟數(shù)字電路系統(tǒng)的設計步驟v3 3設計各子系統(tǒng)或單元電路設計各子系統(tǒng)或單元電路根據(jù)系統(tǒng)原理方框圖的功能和技術性能指標根據(jù)系統(tǒng)原理方框圖的功能和技術性能指標要求,選取或設計符合設計要求的子系統(tǒng)或要求,選取或設計符合設計要求的子系統(tǒng)或單元電路,并完成相應的功能調(diào)試和性能測單元電路,并完成

10、相應的功能調(diào)試和性能測試。子系統(tǒng)或單元電路盡量選用高性能、控試。子系統(tǒng)或單元電路盡量選用高性能、控制簡單、集成度高的、應用廣泛的新產(chǎn)品。制簡單、集成度高的、應用廣泛的新產(chǎn)品。這一步需要設計人員會查數(shù)據(jù)手冊,明確什這一步需要設計人員會查數(shù)據(jù)手冊,明確什么是關鍵指標,如何去選擇代用品等。么是關鍵指標,如何去選擇代用品等。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計步驟數(shù)字電路系統(tǒng)的設計步驟v4 4組成系統(tǒng),系統(tǒng)聯(lián)調(diào)和優(yōu)化組成系統(tǒng),系統(tǒng)聯(lián)調(diào)和優(yōu)化組成系統(tǒng)時,還需要考慮布局是組成系統(tǒng)時,還需要考慮布局是否合理,如能否滿足電磁兼容等;否合理,如能否滿足電磁兼容等;調(diào)測是否方便,如有

11、無必要留出調(diào)測是否方便,如有無必要留出測試點等。測試點等。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計步驟數(shù)字電路系統(tǒng)的設計步驟v系統(tǒng)聯(lián)調(diào)時,可能會遇到很多問題,此時可以系統(tǒng)聯(lián)調(diào)時,可能會遇到很多問題,此時可以按下列次序進行錯誤定位:按下列次序進行錯誤定位:(1 1)原理圖是否正確;()原理圖是否正確;(2 2)接線是否符合圖紙要)接線是否符合圖紙要求,接線有否折斷;(求,接線有否折斷;(3 3)是否有短路現(xiàn)象;()是否有短路現(xiàn)象;(4 4)是否有開路現(xiàn)象;(是否有開路現(xiàn)象;(5 5)接插點、焊點是否牢靠;)接插點、焊點是否牢靠;(6 6)芯片及元件有否損壞,方向、極性是

12、否正確;)芯片及元件有否損壞,方向、極性是否正確;(7 7)有否超出元件的負載能力;()有否超出元件的負載能力;(8 8)問題是否來)問題是否來自干擾。自干擾。在調(diào)試過程中,對問題的解決過程中,可根據(jù)現(xiàn)實在調(diào)試過程中,對問題的解決過程中,可根據(jù)現(xiàn)實的情況對系統(tǒng)進行優(yōu)化。的情況對系統(tǒng)進行優(yōu)化。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計步驟數(shù)字電路系統(tǒng)的設計步驟v5 5系統(tǒng)功能和性能測試系統(tǒng)功能和性能測試v主要包括三部分的工作:主要包括三部分的工作:v(1 1)系統(tǒng)故障診斷與排除;)系統(tǒng)故障診斷與排除;v(2 2)系統(tǒng)功能測試;)系統(tǒng)功能測試;v(3 3)系統(tǒng)性能指標測試。

13、)系統(tǒng)性能指標測試。v若系統(tǒng)功能或性能指標達不到任務要求,若系統(tǒng)功能或性能指標達不到任務要求,則必須修改電路設計。則必須修改電路設計。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計步驟數(shù)字電路系統(tǒng)的設計步驟v6 6撰寫設計文件撰寫設計文件應整理撰寫的設計文件的內(nèi)容主要有:總體應整理撰寫的設計文件的內(nèi)容主要有:總體方案的構思與選定(畫出系統(tǒng)框圖)、單元方案的構思與選定(畫出系統(tǒng)框圖)、單元電路的設計(包括元器件選定和參數(shù)計算)、電路的設計(包括元器件選定和參數(shù)計算)、繪制總原理電路圖(系統(tǒng)詳盡的軟硬件資繪制總原理電路圖(系統(tǒng)詳盡的軟硬件資料)、元器件清單、功能和性能測試結果、

14、料)、元器件清單、功能和性能測試結果、組裝調(diào)試的注意事項、使用說明、總結設計組裝調(diào)試的注意事項、使用說明、總結設計方案的優(yōu)缺點以及收獲體會等。方案的優(yōu)缺點以及收獲體會等。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計4.1.3 4.1.3 數(shù)字電路系統(tǒng)的設計方法數(shù)字電路系統(tǒng)的設計方法 v數(shù)字電路系統(tǒng)的設計方法有試湊法和自上而下法。v1.數(shù)字系統(tǒng)設計的試湊法這種方法的基本思想是:把系統(tǒng)的總體方案分成若干個相對獨立的功能部件,然后用組合邏輯電路和時序邏輯電路的設計方法分別設計并構成這些功能部件,或者直接選擇合適的SSI、MSI、LSI器件實現(xiàn)上述功能,最后把這些已經(jīng)確定的部件按要求拼接組合起來,

15、便構成完整的數(shù)字系統(tǒng)。試湊法的優(yōu)點是:可利用前人的設計成果;在系統(tǒng)的組裝和調(diào)試過程中十分有效。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計方法數(shù)字電路系統(tǒng)的設計方法v試湊法具體步驟:(1)分析系統(tǒng)的設計要求,確定系統(tǒng)的總體方案。(2)劃分邏輯單元,確定初始結構,建立總體邏輯圖。(3)選擇功能部件去構成。(4)將功能部件組成數(shù)字系統(tǒng)。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計方法數(shù)字電路系統(tǒng)的設計方法v2.數(shù)字系統(tǒng)自上而下的設計方法數(shù)字系統(tǒng)自上而下的設計方法自上而下(或自頂向下)的設計方法適合于規(guī)模較自上而下(或自頂向下)的設計方法適合于規(guī)模較大的數(shù)

16、字系統(tǒng)。這里的上(或頂)是指系統(tǒng)的功能,大的數(shù)字系統(tǒng)。這里的上(或頂)是指系統(tǒng)的功能,底是指最基本的元、器件,甚至是版圖。底是指最基本的元、器件,甚至是版圖。這種方法的基本思想是:把規(guī)模較大的數(shù)字系統(tǒng)從這種方法的基本思想是:把規(guī)模較大的數(shù)字系統(tǒng)從邏輯上劃分為控制器和受控制器電路兩大部分,采邏輯上劃分為控制器和受控制器電路兩大部分,采用邏輯流程圖或用邏輯流程圖或 ASMASM圖或圖或 MDSMDS圖來描述控制器的控圖來描述控制器的控制過程,并根據(jù)控制器及受控制電路的邏輯功能,制過程,并根據(jù)控制器及受控制電路的邏輯功能,選擇適當?shù)倪x擇適當?shù)腟SISSI、MSIMSI功能器件來實現(xiàn)。而控制器或功能器

17、件來實現(xiàn)。而控制器或受控制器本身又分別可以看成一個子系統(tǒng),邏輯劃受控制器本身又分別可以看成一個子系統(tǒng),邏輯劃分的工作還可以在控制器或受控制器內(nèi)部多重進行。分的工作還可以在控制器或受控制器內(nèi)部多重進行。按照這種設計思想,一個大的數(shù)字系統(tǒng),首先被分按照這種設計思想,一個大的數(shù)字系統(tǒng),首先被分割成屬于不同層次的許多子系統(tǒng),再用具體的硬件割成屬于不同層次的許多子系統(tǒng),再用具體的硬件實現(xiàn)這些子系統(tǒng),最后把它們連接起來,得到所要實現(xiàn)這些子系統(tǒng),最后把它們連接起來,得到所要求的完整的數(shù)字系統(tǒng)。求的完整的數(shù)字系統(tǒng)。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)的設計方法數(shù)字電路系統(tǒng)的設計方法v自

18、上而下設計方法的步驟如下:自上而下設計方法的步驟如下:(1)(1)明確待設計系統(tǒng)的邏輯功能;明確待設計系統(tǒng)的邏輯功能;(2)(2)擬定數(shù)字系統(tǒng)的總體方案;擬定數(shù)字系統(tǒng)的總體方案;(3)(3)邏輯劃分;邏輯劃分;(4)(4)設計受控電路及控制器。設計受控電路及控制器。v自頂向下的優(yōu)點:盡量運用概念(抽象)描述、自頂向下的優(yōu)點:盡量運用概念(抽象)描述、分析設計對象,不過早地考慮具體的電路、元分析設計對象,不過早地考慮具體的電路、元器件和工藝;易于抓住主要矛盾,不糾纏在具器件和工藝;易于抓住主要矛盾,不糾纏在具體細節(jié)上,有效控制設計的復雜性。體細節(jié)上,有效控制設計的復雜性。第第4 4章章 數(shù)字電路

19、系統(tǒng)設計數(shù)字電路系統(tǒng)設計4.2 4.2 常用中規(guī)模數(shù)字邏輯電路的應用常用中規(guī)模數(shù)字邏輯電路的應用 v本節(jié)主要介紹幾種常用的中規(guī)模數(shù)字邏本節(jié)主要介紹幾種常用的中規(guī)模數(shù)字邏輯電路的應用:輯電路的應用:模擬開關和數(shù)據(jù)選擇器模擬開關和數(shù)據(jù)選擇器數(shù)值比較器的合理選擇及應用數(shù)值比較器的合理選擇及應用計數(shù)器計數(shù)器/分頻器分頻器譯碼器譯碼器 第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計4.2.1 4.2.1 模擬開關和數(shù)據(jù)選擇器模擬開關和數(shù)據(jù)選擇器 v根據(jù)模擬開關在電子設備中的作用可知,根據(jù)模擬開關在電子設備中的作用可知,模擬開關是一種在數(shù)字信號控制下將模模擬開關是一種在數(shù)字信號控制下將模擬信號接通或斷開

20、的元件或電路。模擬擬信號接通或斷開的元件或電路。模擬開關主要由開關元件和控制(驅動)電開關主要由開關元件和控制(驅動)電路兩部分組成路兩部分組成(如圖如圖4.2.14.2.1所示所示)。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計1 1模擬開關的分類模擬開關的分類 v按模擬開關的工作原理可將其分為雙極性晶體管按模擬開關的工作原理可將其分為雙極性晶體管模擬開關、場效應晶體管模擬開關和集成模擬開模擬開關、場效應晶體管模擬開關和集成模擬開關。它們的共同優(yōu)點是開關切換速度快。關。它們的共同優(yōu)點是開關切換速度快。雙極性晶體管模擬開關的漏電流大,開路電阻小,導雙極性晶體管模擬開關的漏電流大,開路電阻小

21、,導通電阻大,屬于電流控制器件,功耗較大。通電阻大,屬于電流控制器件,功耗較大。場效應晶體管模擬開關導通電阻小,易于和驅動電路場效應晶體管模擬開關導通電阻小,易于和驅動電路集成。集成。集成模擬開關是將模擬開關、地址譯碼器集成到一個集成模擬開關是將模擬開關、地址譯碼器集成到一個芯片上,通過地址譯碼來選擇模擬開關的接通的一種芯片上,通過地址譯碼來選擇模擬開關的接通的一種雙向開關。產(chǎn)品種類豐富,性能各異,需要根據(jù)不同雙向開關。產(chǎn)品種類豐富,性能各異,需要根據(jù)不同的應用來選擇。的應用來選擇。v按切換的對象分:電壓模擬開關和電流模擬開關。按切換的對象分:電壓模擬開關和電流模擬開關。第第4 4章章 數(shù)字電

22、路系統(tǒng)設計數(shù)字電路系統(tǒng)設計2 2模擬開關的正確選用模擬開關的正確選用 v要在電子應用系統(tǒng)中,首先可根據(jù)模擬開關的要在電子應用系統(tǒng)中,首先可根據(jù)模擬開關的性能參數(shù)來正確選擇模擬開關。性能參數(shù)來正確選擇模擬開關。v模擬開關的性能參數(shù)主要有靜態(tài)特性和動態(tài)特模擬開關的性能參數(shù)主要有靜態(tài)特性和動態(tài)特性。性。靜態(tài)特性主要指開關導通時輸入端與輸出端之間的靜態(tài)特性主要指開關導通時輸入端與輸出端之間的電阻電阻R Ronon(簡稱導通電阻)和斷開時輸入端與輸出端(簡稱導通電阻)和斷開時輸入端與輸出端之間的電阻之間的電阻R Roffoff(簡稱斷開電阻)。對于常用的模(簡稱斷開電阻)。對于常用的模擬開關,導通電阻一

23、般為幾十至擬開關,導通電阻一般為幾十至1000 1000 不等(如不等(如CD4066CD4066的導通電阻的導通電阻R Ronon180 180,MAX4051MAX4051的導通電的導通電阻阻R Ronon250 250,MAX4516MAX4516的導通電阻的導通電阻R Ronon20 20)。)。對于常用的模擬開關,通常斷開電阻對于常用的模擬開關,通常斷開電阻R Roffoff10M 10M(或泄漏電流(或泄漏電流10nA10nA)。)。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計模擬開關的正確選用模擬開關的正確選用v在一般的電子系統(tǒng)設計中,希望所選的模擬開在一般的電子系統(tǒng)設計中,

24、希望所選的模擬開關的導通電阻越小越好,斷開電阻越大越好。關的導通電阻越小越好,斷開電阻越大越好。這里需要著重指出的是,雖然導通電阻被定義這里需要著重指出的是,雖然導通電阻被定義為靜態(tài)特性,好像是不隨其他條件改變而改變?yōu)殪o態(tài)特性,好像是不隨其他條件改變而改變的,但有實驗證明,導通電阻的,但有實驗證明,導通電阻R Ronon還隨模擬開關還隨模擬開關的電源電壓增大而減小。的電源電壓增大而減小。v靜態(tài)特性參數(shù)還有導通電阻溫度漂移、開關接靜態(tài)特性參數(shù)還有導通電阻溫度漂移、開關接通電流、開關斷開時的泄漏電流、開關斷開時,通電流、開關斷開時的泄漏電流、開關斷開時,開關對地電容、開關斷開時,輸出端對地電容、開

25、關對地電容、開關斷開時,輸出端對地電容、v最大開關電壓、最大開關電流、驅動功耗、導最大開關電壓、最大開關電流、驅動功耗、導通時的帶寬等。通時的帶寬等。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計模擬開關的正確選用模擬開關的正確選用v動態(tài)特性主要指開關動作延遲時間,包括開關動態(tài)特性主要指開關動作延遲時間,包括開關導通延遲時間導通延遲時間T Tonon和開關斷開延遲時間和開關斷開延遲時間T Toffoff。通。通常開關導通延遲時間常開關導通延遲時間T Tonon大于開關斷開延遲時間大于開關斷開延遲時間T Toffoff。對于常用的模擬開關,一般。對于常用的模擬開關,一般T Tonon200us

26、200us,T Toffoff100nsBAB、ABABAB、F FABABB、A A B B和和A A=B=B 必須預先分別預置為必須預先分別預置為0 0、0 0、1 1。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計數(shù)值比較器的并行擴展數(shù)值比較器的并行擴展v數(shù)值比較器的并行擴展如圖數(shù)值比較器的并行擴展如圖4.2.154.2.15。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計2.2.數(shù)值比較器的應用數(shù)值比較器的應用 v例例1 1 占空比可數(shù)控的脈沖發(fā)占空比可數(shù)控的脈沖發(fā)生器生器 由兩只由兩只CD4585CD4585和一只和一只CD4518CD4518雙雙重重BCDBCD同步加法計數(shù)器組

27、成的同步加法計數(shù)器組成的占空比可數(shù)控的脈沖發(fā)生器電占空比可數(shù)控的脈沖發(fā)生器電路。圖中時鐘信號路。圖中時鐘信號F Finin由由CD4518CD4518的的ENEN端輸入,下降沿觸端輸入,下降沿觸發(fā)。脈沖的占空比(輸出脈沖發(fā)。脈沖的占空比(輸出脈沖的寬度)以的寬度)以BCDBCD碼的形式分別碼的形式分別輸入到兩只輸入到兩只CD4585CD4585四位比較器四位比較器的的B Bi i端。比較器的端。比較器的A Ai i端和端和BCDBCD計數(shù)器的計數(shù)器的Q Q端相連,即端相連,即A Ai i為計為計數(shù)累計值。數(shù)累計值。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計占空比可數(shù)控的脈沖發(fā)生器的工作情

28、況占空比可數(shù)控的脈沖發(fā)生器的工作情況 v F Finin在每一個下降沿使在每一個下降沿使CD4518CD4518計數(shù)值加計數(shù)值加1 1。設比較器。設比較器B Bi i的輸入值為的輸入值為M M,在計數(shù)器開始計數(shù)時,在計數(shù)器開始計數(shù)時,A=0A=0,故,故ABAB,所以比較器(,所以比較器(ABAB)輸出端)輸出端為高電平。在第為高電平。在第M M個時鐘脈沖到來時,個時鐘脈沖到來時,A Ai i=M=B=M=Bi i,故比較器(,故比較器(ABAB)輸出立即變?yōu)榈碗娖?。當?shù)谳敵隽⒓醋優(yōu)榈碗娖?。當?shù)?00100個時鐘到來時,計數(shù)器復位,個時鐘到來時,計數(shù)器復位,A Ai i=0=0,即即ABAB,

29、故(,故(ABAB)輸出端又重新變?yōu)楦唠娖?,恢復到初始狀態(tài)。)輸出端又重新變?yōu)楦唠娖剑謴偷匠跏紶顟B(tài)。比較器(比較器(ABAB)輸出脈沖的周期)輸出脈沖的周期T T為為100100個時鐘脈沖周期,即對個時鐘脈沖周期,即對F Finin 100100分頻。輸出脈沖的持續(xù)時間分頻。輸出脈沖的持續(xù)時間t tw w(脈寬)為(脈寬)為M M個時鐘脈沖,故占個時鐘脈沖,故占空比空比 DRDR的設置范圍為的設置范圍為1 19999。(%)%100MTtwDR第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計例例2 2 數(shù)字峰值檢出器數(shù)字峰值檢出器 v 數(shù)字峰值檢出電路由一只數(shù)據(jù)比較器數(shù)字峰值檢出電路由一只數(shù)

30、據(jù)比較器CD4585CD4585和兩只和兩只CD4174CD4174寄寄存器等器件組成。電路首先輸入存器等器件組成。電路首先輸入R R脈沖,使脈沖,使ICIC2 2的的Q Q端(即端(即ICIC3 3的的A Ai i端)置端)置0 0,也使,也使D D觸發(fā)器觸發(fā)器Q=0Q=0,做好接收第一組數(shù)據(jù)的準,做好接收第一組數(shù)據(jù)的準備。第一組備。第一組4 4位數(shù)據(jù)同時加至寄存器位數(shù)據(jù)同時加至寄存器ICIC1 1的的D D端和比較器的端和比較器的B Bi i端。在時鐘脈沖端。在時鐘脈沖CLCL的上升沿將數(shù)據(jù)鎖存至的上升沿將數(shù)據(jù)鎖存至ICIC1 1的的Q Q端。此時由端。此時由于比較器的于比較器的A Ai

31、i=0,=0,只要只要B Bi i數(shù)據(jù)不為數(shù)據(jù)不為0 0,則輸出端(,則輸出端(ABAB)1 1。故在同一時鐘脈沖作用下,故在同一時鐘脈沖作用下,D D觸發(fā)器的輸出觸發(fā)器的輸出Q=1Q=1。繼而在時鐘。繼而在時鐘脈沖的下降沿將寄存器脈沖的下降沿將寄存器ICIC1 1的數(shù)據(jù)轉移到寄存器的數(shù)據(jù)轉移到寄存器ICIC2 2,這表示,這表示新輸入的數(shù)據(jù)比原存的數(shù)據(jù)大。此后再輸入新數(shù)據(jù),只要比新輸入的數(shù)據(jù)比原存的數(shù)據(jù)大。此后再輸入新數(shù)據(jù),只要比原寄存的數(shù)據(jù)大,則重復上述過程,刷新并保持新數(shù)據(jù)。如原寄存的數(shù)據(jù)大,則重復上述過程,刷新并保持新數(shù)據(jù)。如果新數(shù)據(jù)和原數(shù)據(jù)相等或小于原數(shù)據(jù),則比較器(果新數(shù)據(jù)和原數(shù)據(jù)

32、相等或小于原數(shù)據(jù),則比較器(ABAB)=0=0,D D觸發(fā)器觸發(fā)器Q=0Q=0,ICIC2 2補封鎖,原數(shù)據(jù)繼續(xù)保持。因此,當所有補封鎖,原數(shù)據(jù)繼續(xù)保持。因此,當所有的數(shù)據(jù)輸入完以后,寄存器的數(shù)據(jù)輸入完以后,寄存器ICIC2 2輸出的必然是數(shù)據(jù)的最大值。輸出的必然是數(shù)據(jù)的最大值。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計例例2 2 數(shù)字峰值檢出器數(shù)字峰值檢出器 第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計4.2.3 4.2.3 計數(shù)器計數(shù)器/分頻器分頻器 v1.1.計數(shù)器計數(shù)器/分頻器概述分頻器概述 計數(shù)器是用來實現(xiàn)累計輸入時鐘脈沖個數(shù)功能的時計數(shù)器是用來實現(xiàn)累計輸入時鐘脈沖個數(shù)功能

33、的時序電路。在數(shù)字電路中,計數(shù)器屬于時序電路,它序電路。在數(shù)字電路中,計數(shù)器屬于時序電路,它主要由具有記憶功能的觸發(fā)器構成。計數(shù)器不僅僅主要由具有記憶功能的觸發(fā)器構成。計數(shù)器不僅僅用來記錄時鐘脈沖的個數(shù),在計數(shù)功能的基礎上,用來記錄時鐘脈沖的個數(shù),在計數(shù)功能的基礎上,計數(shù)器還可以實現(xiàn)計時、定時、分頻、程序控制和計數(shù)器還可以實現(xiàn)計時、定時、分頻、程序控制和邏輯控制等功能,應用十分廣泛。邏輯控制等功能,應用十分廣泛。計數(shù)器和分頻器都是由二進制記憶單元構成。兩者計數(shù)器和分頻器都是由二進制記憶單元構成。兩者都有時鐘信號輸入端,但是計數(shù)器必須具有計數(shù)結都有時鐘信號輸入端,但是計數(shù)器必須具有計數(shù)結果的輸出

34、端,為了從果的輸出端,為了從0開始計數(shù),還設有復位端。開始計數(shù),還設有復位端。分頻器可以只有進位信號輸出端,也不必設置復位分頻器可以只有進位信號輸出端,也不必設置復位端。計數(shù)器可以做為分頻器使用,而分頻器不能當端。計數(shù)器可以做為分頻器使用,而分頻器不能當計數(shù)器使用。計數(shù)器使用。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計計數(shù)器輸出的幾種常用方式計數(shù)器輸出的幾種常用方式 v計數(shù)器是一種單端輸入、多端輸出的記計數(shù)器是一種單端輸入、多端輸出的記憶器件,它能對輸入的時鐘脈沖計數(shù),憶器件,它能對輸入的時鐘脈沖計數(shù),而在輸出端又以不同的方式輸出以表示而在輸出端又以不同的方式輸出以表示不同的狀態(tài)不同的狀

35、態(tài) 十進制計數(shù)十進制計數(shù)/7段譯碼輸出的計數(shù)器。段譯碼輸出的計數(shù)器。BCDBCD碼輸出的計數(shù)器。碼輸出的計數(shù)器。分頻器輸出的計數(shù)器。分頻器輸出的計數(shù)器。多位二進制輸出的計數(shù)器。多位二進制輸出的計數(shù)器。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計2.2.計數(shù)器計數(shù)器/分頻器的應用分頻器的應用 v(1)(1)雙時鐘和單時鐘的相互轉換電路雙時鐘和單時鐘的相互轉換電路 由單時鐘輸入轉為雙時鐘輸出的電路由單時鐘輸入轉為雙時鐘輸出的電路 第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計(1)(1)雙時鐘和單時鐘的相互轉換雙時鐘和單時鐘的相互轉換電路電路由雙時鐘輸入轉為單時鐘輸出的電路由雙時鐘輸入轉為單

36、時鐘輸出的電路 第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計(2 2)自動關斷電路)自動關斷電路 v 圖圖4.2.214.2.21示出了使用示出了使用CD4060 14CD4060 14級行波進位二時制計數(shù)級行波進位二時制計數(shù)器組成的自動關斷電路,該電路用以保護干電池無謂器組成的自動關斷電路,該電路用以保護干電池無謂消耗。即能自動地經(jīng)過一段預定的時間后將電源切斷,消耗。即能自動地經(jīng)過一段預定的時間后將電源切斷,從而避免干電池供電的設備長期在不需要工作的時間從而避免干電池供電的設備長期在不需要工作的時間里無謂的消耗電源。這個電路在去除負載后的電流消里無謂的消耗電源。這個電路在去除負載后的電流

37、消耗小于耗小于1uA1uA,因此可以忽略不計。若關斷后要重新接上,因此可以忽略不計。若關斷后要重新接上負載工作,必須關掉電源開關后再行合上。負載工作,必須關掉電源開關后再行合上。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計電路的工作原理電路的工作原理v 當開關閉合時,電流經(jīng)晶體管當開關閉合時,電流經(jīng)晶體管T T1 1的發(fā)射極的發(fā)射極基極使電基極使電容容C C1 1充電,以至充電,以至T T1 1立即導通,繼而使立即導通,繼而使T T2 2導通。于是電流導通。于是電流通過通過T2T2加至加至CD4060CD4060和負載。首先電路中的開機清零電和負載。首先電路中的開機清零電路起作用,在復位端

38、路起作用,在復位端R R呈現(xiàn)高電平使計數(shù)器呈現(xiàn)高電平使計數(shù)器CD4060CD4060復位,復位,復位后只要計數(shù)器輸出端復位后只要計數(shù)器輸出端Q Q1414呈現(xiàn)低電平狀態(tài),晶體管呈現(xiàn)低電平狀態(tài),晶體管T T1 1和和T T2 2保持導通。之后,一旦開機清零的瞬態(tài)信號消失,保持導通。之后,一旦開機清零的瞬態(tài)信號消失,CD4060CD4060計數(shù)器開始計數(shù),按圖中的部件值,計數(shù)器開始計數(shù),按圖中的部件值,RCRC振蕩器振蕩器的頻率若為的頻率若為30Hz30Hz,經(jīng)由,經(jīng)由1414級二分頻后大約經(jīng)過級二分頻后大約經(jīng)過9 9分鐘時分鐘時間,間,Q Q1414由由“0”0”變變“1”1”,于是使得晶體管,

39、于是使得晶體管T T1 1和和T T2 2截止,截止,從而將負載從電源線上斷開。從而將負載從電源線上斷開。v 應該注意,圖中的電阻應該注意,圖中的電阻R R1 1應使晶體管應使晶體管T T2 2有足夠的基極電有足夠的基極電流并使之飽和。晶體管流并使之飽和。晶體管T T2 2還必須有足夠的輸出電流以還必須有足夠的輸出電流以便帶動負載,需要較大負載電流時可用達林頓連接提便帶動負載,需要較大負載電流時可用達林頓連接提高其輸出能力。高其輸出能力。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計(3)(3)脈沖延時控制電路脈沖延時控制電路 v 用用CD4024 7CD4024 7級異步二進制計數(shù)器組成的

40、脈沖延遲控制電路。圖中級異步二進制計數(shù)器組成的脈沖延遲控制電路。圖中兩片兩片CD4024CD4024電路級聯(lián)成電路級聯(lián)成1414級二進制計數(shù)器,作為延遲的計數(shù)基準。級二進制計數(shù)器,作為延遲的計數(shù)基準。輸出端輸出端Q Q1 1Q Q1414可由延遲時間選擇。另外,可由延遲時間選擇。另外,RSRS觸發(fā)器觸發(fā)器用作脈沖輸用作脈沖輸入的允許,入的允許,RSRS觸發(fā)器觸發(fā)器的作用是輸出延遲脈沖后提供單脈沖使觸的作用是輸出延遲脈沖后提供單脈沖使觸發(fā)器發(fā)器復位,以便使延遲電路進入待觸發(fā)輸入狀態(tài)。復位,以便使延遲電路進入待觸發(fā)輸入狀態(tài)。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計電路的工作過程電路的工作過

41、程 v 首先,用圖中按鍵將電路復位,這時首先,用圖中按鍵將電路復位,這時CD4024CD4024計數(shù)器和計數(shù)器和D D型觸發(fā)器型觸發(fā)器清零,清零,V VO O輸出為輸出為“0”0”,RSRS觸發(fā)器觸發(fā)器輸出為輸出為“1”1”,可控制起,可控制起/停的停的RCRC振蕩器停振,電路處在待輸入狀態(tài),各點的邏輯電平如圖中所振蕩器停振,電路處在待輸入狀態(tài),各點的邏輯電平如圖中所示。當待延遲的輸入脈沖示。當待延遲的輸入脈沖V Vi i從從RSRS觸發(fā)器觸發(fā)器的輸入端引入后使觸發(fā)的輸入端引入后使觸發(fā)器器狀態(tài)翻轉,可控振蕩器振蕩,振蕩脈沖輸入狀態(tài)翻轉,可控振蕩器振蕩,振蕩脈沖輸入CD4024CD4024計數(shù)器

42、,計數(shù)器,在計數(shù)器計到所預定的選擇狀態(tài)在計數(shù)器計到所預定的選擇狀態(tài)N N時(設時(設N=4N=4),選擇開關動臂處),選擇開關動臂處的電平由的電平由“0”0”變變“1”1”,4 4個輸入時鐘周期結束時(即個輸入時鐘周期結束時(即N+1N+1時鐘開時鐘開始),始),D D型觸發(fā)器輸出型觸發(fā)器輸出Q Q由由“0”0”變變“1”1”,則輸出,則輸出V V0 0為為“1”1”,獲得,獲得延遲輸出,如圖延遲輸出,如圖 4.2.234.2.23中的波形所示。中的波形所示。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計電路的工作過程電路的工作過程v另外,另外,D D型觸發(fā)器型觸發(fā)器Q Q端的輸出立即將端的

43、輸出立即將CD4024CD4024計數(shù)計數(shù)器復位,并將器復位,并將RSRS觸發(fā)器觸發(fā)器翻轉,其輸出由翻轉,其輸出由“1”1”變變“0”0”。之后在。之后在N+2N+2個輸入脈沖作用下,個輸入脈沖作用下,D D型型觸發(fā)器的輸出觸發(fā)器的輸出Q Q由由“1”1”翻回到翻回到“0”0”,于是延,于是延遲輸出經(jīng)一個時鐘周期后結束。同時遲輸出經(jīng)一個時鐘周期后結束。同時Q Q端的這端的這一變化又使一變化又使RSRS觸發(fā)器翻回到原來的狀態(tài),輸出觸發(fā)器翻回到原來的狀態(tài),輸出由由“0”0”到到“1”1”,使振蕩器停振,振蕩器的輸,使振蕩器停振,振蕩器的輸出從出從“0”0”回到回到“1”1”,如圖,如圖4.2.23

44、4.2.23波形中波形中最最后一個負向尖鋒表示了這一變化。脈沖中的這后一個負向尖鋒表示了這一變化。脈沖中的這一變化,作一變化,作D D型觸發(fā)器的第型觸發(fā)器的第N+2N+2個時鐘個時鐘CLCL,使其,使其輸出輸出V V0 0由由“1”1”回到回到”0“0“。這時,延遲控制電。這時,延遲控制電路又進入待輸入狀態(tài)。路又進入待輸入狀態(tài)。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計(4)(4)時序控制電路時序控制電路 vCD4017CD4017與與4 4雙向模擬開關雙向模擬開關CD4066CD4066組成的時序控組成的時序控制電路或開關控制電路如圖制電路或開關控制電路如圖4.2.244.2.24所示

45、。當所示。當CD4017CD4017的譯碼輸出端為高電平時,對應的開關的譯碼輸出端為高電平時,對應的開關接通,其導通電阻約為接通,其導通電阻約為8080250250;當譯碼輸;當譯碼輸出端為低電平時,開關斷開,其開路電阻達到出端為低電平時,開關斷開,其開路電阻達到10109 9。在時鐘脈沖。在時鐘脈沖CPCP的作用下,的作用下,CD4017CD4017的譯的譯碼輸出端碼輸出端Y Y0 0、Y Y1 1、Y Y2 2、Y Y3 3依次為高電平,則開依次為高電平,則開關關S SA A、S SB B、S SC C、S SD D依次接通與斷開,發(fā)光二極依次接通與斷開,發(fā)光二極管依次發(fā)光與熄滅。管依次發(fā)

46、光與熄滅。CC4066CC4066的的4 4只開關是雙向只開關是雙向的,即輸入輸出端是可逆的。的,即輸入輸出端是可逆的。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計(4)(4)時序控制電路時序控制電路第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計4.2.4 4.2.4 譯碼器譯碼器 v譯碼器是將具有特定含義的數(shù)字代碼進行辨別,譯碼器是將具有特定含義的數(shù)字代碼進行辨別,并轉換成與之對應的有效信號或另一種數(shù)字代并轉換成與之對應的有效信號或另一種數(shù)字代碼的邏輯電路。集成譯碼器可分為時序譯碼電碼的邏輯電路。集成譯碼器可分為時序譯碼電路和數(shù)字顯示譯碼驅動電路。常用的中規(guī)模集路和數(shù)字顯示譯碼驅動電路

47、。常用的中規(guī)模集成時序譯碼電路有雙成時序譯碼電路有雙2 2線線4 4線譯碼器線譯碼器7413974139,3 3線線8 8線譯碼器線譯碼器74LS13874LS138,4 4線線1616線譯碼器線譯碼器7415474154、CD4514CD4514和和4 4線線1010線譯碼器線譯碼器74LS4274LS42、CD4028CD4028等;數(shù)字顯示譯碼驅動電路如等;數(shù)字顯示譯碼驅動電路如74LS48,74LS4974LS48,74LS49等。下面分別介紹這些譯碼器等。下面分別介紹這些譯碼器的應用。的應用。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計1.1.時序譯碼電路時序譯碼電路 v(1 1

48、)74LS13874LS138譯碼器譯碼器 例:用例:用74LS13874LS138實現(xiàn)實現(xiàn)4 41616線譯碼線譯碼 第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計(1 1)74LS13874LS138譯碼器譯碼器例:用例:用3 38 8譯碼器實現(xiàn)如下邏輯表達式譯碼器實現(xiàn)如下邏輯表達式F(C,B,A)F(C,B,A):F(C,B,A)F(C,B,A)m1+m3+m6+m7 m1+m3+m6+m7。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計(2 2)74LS4274LS42二十進制譯碼器二十進制譯碼器 v 二十進制譯碼器又稱為碼制變換譯碼器,它是將二十進制譯碼器又稱為碼制變換譯碼器,它

49、是將BCDBCD碼譯碼成十個獨立輸出的電平信號。如碼譯碼成十個獨立輸出的電平信號。如74LS4274LS42和和CD4028CD4028,當輸入為,當輸入為8421BCD8421BCD碼時,輸出為十個獨碼時,輸出為十個獨立的低電平信號(輸出為低電平有效),對于立的低電平信號(輸出為低電平有效),對于8421BCD8421BCD碼以外的偽碼,十個輸出全為高電平。碼以外的偽碼,十個輸出全為高電平。v 74LS4274LS42和和CD4028CD4028譯碼器有譯碼器有4 4個輸入端個輸入端A3A2A1A0A3A2A1A0,并,并且按且按8421BCD8421BCD編碼輸入數(shù)據(jù),有編碼輸入數(shù)據(jù),有1

50、010個輸出端,分別個輸出端,分別與十進制數(shù)與十進制數(shù)0 09 9相對應,低電平有效。對于某個相對應,低電平有效。對于某個8421BCD8421BCD碼的輸入,相應的輸出端為低電平,其他碼的輸入,相應的輸出端為低電平,其他輸出端為高電平。如當輸出端為高電平。如當A3A2A1A0=0000A3A2A1A0=0000時,輸出端時,輸出端Y0Y0為低電平為低電平0 0,對應于十進制數(shù),對應于十進制數(shù)0 0,其余輸出依此類,其余輸出依此類推。當輸入的二進制數(shù)超過推。當輸入的二進制數(shù)超過BCDBCD碼時,所有輸出端碼時,所有輸出端都輸出高電平,呈無效狀態(tài)。都輸出高電平,呈無效狀態(tài)。第第4 4章章 數(shù)字電

51、路系統(tǒng)設計數(shù)字電路系統(tǒng)設計2.數(shù)字顯示譯碼驅動電路 v 在數(shù)字系統(tǒng)中,常常需要將電路處理結果用人們習慣在數(shù)字系統(tǒng)中,常常需要將電路處理結果用人們習慣的十進制數(shù)顯示出來,這就要用到顯示譯碼器。的十進制數(shù)顯示出來,這就要用到顯示譯碼器。v 目前用于顯示電路的中規(guī)模譯碼器種類很多,其中用目前用于顯示電路的中規(guī)模譯碼器種類很多,其中用得較多的是七段顯示譯碼器。它的輸入是得較多的是七段顯示譯碼器。它的輸入是 8421BCD 8421BCD 碼,碼,輸出是由輸出是由 a a、b b、c c、d d、e e、f f、g g構成的一種代碼,我構成的一種代碼,我們稱之為七段顯示碼。根據(jù)字形的需要,確定們稱之為七

52、段顯示碼。根據(jù)字形的需要,確定a a、b b、c c、d d、e e、f f、g g各段應加什么電平,就得到兩種代碼對應各段應加什么電平,就得到兩種代碼對應的編碼表。七段顯示碼被送到七段數(shù)碼管顯示。例如,的編碼表。七段顯示碼被送到七段數(shù)碼管顯示。例如,對于對于84218421碼的碼的01010101狀態(tài),對應的十進制數(shù)為狀態(tài),對應的十進制數(shù)為5 5,則譯碼,則譯碼驅動器應使驅動器應使 a a、c c、d d、f f、g g各段點亮。即對應于某各段點亮。即對應于某一組數(shù)碼,譯碼器應有確定的幾個輸出端有信號輸出,一組數(shù)碼,譯碼器應有確定的幾個輸出端有信號輸出,這是分段式數(shù)碼管電路的主要特點。這是分

53、段式數(shù)碼管電路的主要特點。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計七段數(shù)碼管七段數(shù)碼管v 七段數(shù)碼管分共陰極和共陽極兩種形式,它們的外形結構和二極七段數(shù)碼管分共陰極和共陽極兩種形式,它們的外形結構和二極管連接方式如圖所示。管連接方式如圖所示。v 從圖中可以看出,對于共陽極的數(shù)碼管,當輸入低電平時發(fā)光二從圖中可以看出,對于共陽極的數(shù)碼管,當輸入低電平時發(fā)光二極管發(fā)光;對于共陰極的數(shù)碼管,當輸入高電平時發(fā)光二極管發(fā)極管發(fā)光;對于共陰極的數(shù)碼管,當輸入高電平時發(fā)光二極管發(fā)光。光。v 與之相應的譯碼器的輸出也分低電平有效和高電平有效兩種。如與之相應的譯碼器的輸出也分低電平有效和高電平有效兩種。

54、如 74LS46 74LS46、74LS47 74LS47 為低電平有效,可用于驅動共陽極的為低電平有效,可用于驅動共陽極的 LED LED 數(shù)數(shù)碼管;碼管;74LS48 74LS48、74LS49 74LS49、CD4511 CD4511 為高電平有效,可用于驅為高電平有效,可用于驅動共陰極的動共陰極的 LED LED 數(shù)碼管。有的數(shù)碼管。有的 LED LED 數(shù)碼管帶有小數(shù)點,一般用數(shù)碼管帶有小數(shù)點,一般用 dpdp 表示。表示。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計(1)74LS48七段顯示譯碼器 v 74LS4874LS48七段顯示譯碼器輸出高電平有效,用來驅動共陰極數(shù)碼管,

55、其邏七段顯示譯碼器輸出高電平有效,用來驅動共陰極數(shù)碼管,其邏輯功能圖如圖輯功能圖如圖4.2.304.2.30。該集成顯示譯碼器設有。該集成顯示譯碼器設有3 3個輔助控制端個輔助控制端BI/RBOBI/RBO、LTLT、RBIRBI,以增強器件的功能?,F(xiàn)簡要說明如下:,以增強器件的功能?,F(xiàn)簡要說明如下:滅燈輸入滅燈輸入BI/RBOBI/RBO BI/RBO BI/RBO是特殊控制端,有時作為輸入,有時作為輸出。當是特殊控制端,有時作為輸入,有時作為輸出。當BI/RBOBI/RBO作輸作輸入使用且入使用且BIBI0 0時,無論其它輸入端是什么電平,所有各段輸入時,無論其它輸入端是什么電平,所有各段

56、輸入a ag g均為均為0 0,所以字形熄滅。所以字形熄滅。動態(tài)滅零輸出動態(tài)滅零輸出RBORBO BI/RBO BI/RBO作為輸出使用時,受控于作為輸出使用時,受控于LTLT和和RBIRBI。當。當LTLT1 1且且RBIRBI0 0,輸入代,輸入代碼碼DCBA=0000DCBA=0000時,時,RBO=0RBO=0;若;若LT=0LT=0或者或者LTLT1 1且且RBIRBI1 1,則,則RBO=1RBO=1。該端主要用。該端主要用于顯示多位數(shù)字時,多個譯碼器之間的連接。于顯示多位數(shù)字時,多個譯碼器之間的連接。試燈輸入試燈輸入LTLT 當當LTLT0 0時,時,BI/RBOBI/RBO是輸

57、出端,且是輸出端,且RBORBO1 1,此時無論其它輸入端是什,此時無論其它輸入端是什么狀態(tài),所有各段輸出么狀態(tài),所有各段輸出a ag g均為均為1,1,顯示字形顯示字形8 8。該輸入端常用于檢查。該輸入端常用于檢查74887488本本身及數(shù)碼管的好壞。身及數(shù)碼管的好壞。動態(tài)滅零輸入動態(tài)滅零輸入RBIRBI 當當LTLT1 1,RBIRBI0 0且輸入代碼且輸入代碼DCBADCBA00000000時,各段輸出時,各段輸出a ag g均為低電均為低電平,與平,與BCDBCD碼相應的字形碼相應的字形 熄滅,故稱熄滅,故稱“滅零滅零”。利用。利用LT=1LT=1與與RBI=0RBI=0可以實現(xiàn)可以實

58、現(xiàn)某一位的某一位的“消隱消隱”。此時。此時BI/RBOBI/RBO是輸出端,且是輸出端,且RBO=0RBO=0。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計74LS48譯碼條件v74LS48對輸入代碼0000的譯碼條件是:LT和RBI同時等于1,而對其它輸入代碼則僅要求LT1,這時候,譯碼器各段ag輸出的電平是由輸入BCD碼決定的,并且滿足顯示字形的要求。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計74LS48譯碼器的典型應用電路 v由于共陰數(shù)碼管的譯碼電路74LS48內(nèi)部有限流電阻,故后接數(shù)碼管時不需外接限流電阻。由于74LS48拉電流能力?。s2mA),灌電流能力大(約6.4mA)

59、,所以一般都要外接上拉電阻推動數(shù)碼管。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計帶有前0消隱的多位數(shù)字譯碼顯示 v 6 6位數(shù)碼管由位數(shù)碼管由6 6片片74LS4874LS48譯碼器驅動。各片譯碼器驅動。各片74LS4874LS48的的LTLT均接高電平,均接高電平,由于第一片由于第一片74LS4874LS48的的RBIRBI0 0,如果這片,如果這片74LS4874LS48的的DCBADCBA輸入為輸入為00000000,則滿足滅零條件,無字形顯示,同時輸出則滿足滅零條件,無字形顯示,同時輸出RBO=0RBO=0;第一片;第一片74LS4874LS48的的RBORBO與第二片與第二片7

60、4LS4874LS48的的RBIRBI相連,也使第二片滿足滅零條件,依相連,也使第二片滿足滅零條件,依此類推,實現(xiàn)了前此類推,實現(xiàn)了前0 0消隱。如果第一片消隱。如果第一片74LS4874LS48的輸入代碼不是的輸入代碼不是00000000而是任何其他而是任何其他BCDBCD碼,則該片將正常譯碼并驅動顯示,同時碼,則該片將正常譯碼并驅動顯示,同時使使 RBORBO1 1。這樣,第二片、第三片就喪失了滅零條件,所以電。這樣,第二片、第三片就喪失了滅零條件,所以電路對最高位非零的數(shù)字仍正常顯示。路對最高位非零的數(shù)字仍正常顯示。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計(2)74LS49七段顯

61、示譯碼器 v 74LS49的邏輯功能圖如圖4.2.33所示v 74LS49功能表 第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計74LS49譯碼器的典型應用電路v74LS49譯碼器的典型應用電路如圖4.2.34所示。74LS49是集電極開路(OC)輸出,必須外接上拉電阻。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計4.3 4.3 鎖相環(huán)及頻率合成器的應用鎖相環(huán)及頻率合成器的應用 v 4.3.1 4.3.1 鎖相環(huán)鎖相環(huán) 1.1.鎖相環(huán)基本原理鎖相環(huán)基本原理能夠實現(xiàn)兩個電信號相位同步的自動控制閉環(huán)系能夠實現(xiàn)兩個電信號相位同步的自動控制閉環(huán)系統(tǒng)統(tǒng)稱為鎖相環(huán),簡稱統(tǒng)統(tǒng)稱為鎖相環(huán),簡稱PLLPL

62、L(Phase Locked Phase Locked LoopLoop)。)。鎖相環(huán)是一種以消除頻率誤差為目的的閉環(huán)反饋鎖相環(huán)是一種以消除頻率誤差為目的的閉環(huán)反饋控制電路,其基本原理是利用相位誤差去消除頻控制電路,其基本原理是利用相位誤差去消除頻率誤差。率誤差。它廣泛應用于廣播通信、頻率合成、自動控制及它廣泛應用于廣播通信、頻率合成、自動控制及時鐘同步等技術領域。時鐘同步等技術領域。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計1.1.鎖相環(huán)基本原理鎖相環(huán)基本原理 v 鎖相環(huán)電路由鑒相器(鎖相環(huán)電路由鑒相器(PDPD,也稱相位比較器)、環(huán)路濾波器(,也稱相位比較器)、環(huán)路濾波器(LFLF)

63、和壓)和壓控振蕩器(控振蕩器(VCOVCO)三部分組成,如圖)三部分組成,如圖4.3.14.3.1所示。所示。v 當電路無信號輸入時,鑒相器輸出的誤差電壓當電路無信號輸入時,鑒相器輸出的誤差電壓 V Vd d為為 0 0,環(huán)路濾波器的,環(huán)路濾波器的輸出電壓輸出電壓V Vc c也為也為 0 0,壓控振蕩器工作于下限頻率。當有信號輸入時,鑒,壓控振蕩器工作于下限頻率。當有信號輸入時,鑒相器將輸入信號相器將輸入信號V Vi i的相位和頻率的相位和頻率f fi i與壓控振蕩器輸出信號的相位和頻率與壓控振蕩器輸出信號的相位和頻率 f fo o相比較,并將兩者的相位差轉換成電壓相比較,并將兩者的相位差轉換

64、成電壓V V d d,經(jīng)過環(huán)路濾波器加到壓控,經(jīng)過環(huán)路濾波器加到壓控振蕩器的輸入端,使壓控振蕩器輸出的頻率振蕩器的輸入端,使壓控振蕩器輸出的頻率f f o o與輸入信號頻率與輸入信號頻率f fi i的差減的差減少。這個過程就稱為少。這個過程就稱為“捕捉捕捉”。當壓控振蕩器信號頻率。當壓控振蕩器信號頻率f fo o輸入信號頻率輸入信號頻率f fi i足夠接近并在相位上保持某種特定關系時,稱之為足夠接近并在相位上保持某種特定關系時,稱之為“相位鎖定相位鎖定”。鎖。鎖相環(huán)在相位鎖定的狀態(tài)下,輸入信號頻率相環(huán)在相位鎖定的狀態(tài)下,輸入信號頻率f fi i發(fā)生變化時,壓控振蕩器輸發(fā)生變化時,壓控振蕩器輸出

65、信號頻率出信號頻率f fo o也將跟著變化,并且嚴格保持一致,這就是鎖相環(huán)的環(huán)路也將跟著變化,并且嚴格保持一致,這就是鎖相環(huán)的環(huán)路跟蹤。跟蹤。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計2.CD40462.CD4046鎖相環(huán)應用介紹鎖相環(huán)應用介紹 vCD4046CD4046是通用的是通用的CMOSCMOS鎖相環(huán)集成電路,其特點鎖相環(huán)集成電路,其特點是電源電壓范圍寬(為是電源電壓范圍寬(為3V3V18V18V),輸入阻抗),輸入阻抗高高(約約100M)100M),動態(tài)功耗小,在中心頻率,動態(tài)功耗小,在中心頻率f f0 0為為10kHz10kHz下功耗僅為下功耗僅為600W600W,屬微功耗器

66、件。,屬微功耗器件。第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計CD4046CD4046的引腳功能定義的引腳功能定義 第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計CD4046功能原理框圖第第4 4章章 數(shù)字電路系統(tǒng)設計數(shù)字電路系統(tǒng)設計CD4046功能原理v CD4046CD4046主要由線性放大器、整形電路、相位比較器主要由線性放大器、整形電路、相位比較器、相位比較器相位比較器、壓控振蕩器(、壓控振蕩器(VCOVCO)、源跟隨器等部分)、源跟隨器等部分構成。構成。v 相位比較器相位比較器采用異或門結構,當兩個輸入端信號的采用異或門結構,當兩個輸入端信號的電平狀態(tài)相異時(即一個高電平,一個為低電平),電平狀態(tài)相異時(即一個高電平,一個為低電平),輸出端信號為高電平;反之,當兩個輸入端信號的電輸出端信號為高電平;反之,當兩個輸入端信號的電平狀態(tài)相同時(即兩個均為高,或均為低電平),輸平狀態(tài)相同時(即兩個均為高,或均為低電平),輸出端信號為低電平。當兩個輸入端信號的相位差在出端信號為低電平。當兩個輸入端信號的相位差在0 0180180范圍內(nèi)變化時,輸出端信號的脈沖寬度亦范圍內(nèi)變化時,

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關資源

更多
正為您匹配相似的精品文檔
關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!