九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

EDA課程設(shè)計報告--交通燈控制器

上傳人:dus****log 文檔編號:126568921 上傳時間:2022-07-28 格式:DOC 頁數(shù):12 大小:1.87MB
收藏 版權(quán)申訴 舉報 下載
EDA課程設(shè)計報告--交通燈控制器_第1頁
第1頁 / 共12頁
EDA課程設(shè)計報告--交通燈控制器_第2頁
第2頁 / 共12頁
EDA課程設(shè)計報告--交通燈控制器_第3頁
第3頁 / 共12頁

下載文檔到電腦,查找使用更方便

8 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《EDA課程設(shè)計報告--交通燈控制器》由會員分享,可在線閱讀,更多相關(guān)《EDA課程設(shè)計報告--交通燈控制器(12頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、鄭州航空工業(yè)管理學(xué)院電子通信工程系EDA技術(shù)及應(yīng)用課程設(shè)計報告題目: 交通燈控制器姓名: 學(xué)號: 同組成員: 指導(dǎo)老師: 年 月 日目 錄一、設(shè)計任務(wù)書二、硬件系統(tǒng)設(shè)計 1、電路原理圖1.1 CPLD核心電路1.2 數(shù)碼管顯示電路1.3電源電路1.4 LED指示燈電路2、管腳分配3、設(shè)計方案三、Verilog HDL代碼設(shè)計四、系統(tǒng)調(diào)試1、邏輯功能模塊RTL級描述2、仿真圖五、總結(jié)1、對本次課程設(shè)計的總結(jié)六、參考文獻(xiàn)一、設(shè)計任務(wù)書設(shè)計要求(1)主干道通行(綠燈):支干道有車24秒;支路紅燈,數(shù)碼管實時顯示倒計時的秒,秒計時的頻率為1Hz 。()主干道緩沖(黃燈):6秒,(不顯示計數(shù)),秒計時的

2、頻率為1Hz 。()支路通行(綠燈):20秒,主干道紅燈,數(shù)碼管實時顯示倒計時的秒,秒計時的頻率為1Hz 。()支路緩沖(黃燈):6秒,不顯示,秒計時的頻率為1Hz 。數(shù)碼管采用動態(tài)顯示。其他要求:(1)晶振為12 MHz(2)采用CPLD 器件,為ALTERA 的EPM7064SL-44(3)采用數(shù)碼管顯示二、硬件系統(tǒng)設(shè)計1、電路原理圖:1.1 CPLD核心電路1.2 數(shù)碼管顯示電路1.3電源電路1.4 LED指示燈電路2、管腳分配管腳分配:CPLD型號: FAMILY:MAX7000AE DEVICE:EPM7064AELC44-10 sys_clk : pin 43 / 12Mhz 4個

3、LED燈: led0 led3 : pin 14 16 17 18 8個數(shù)碼管:8個位線:com0com3(dig0dig3):pin 34, 33,31, 29, com4com7 (dig4dig7):pin 28 , 27,26 , 25 8個數(shù)據(jù)線:seg0seg 3: pin 36, 37 ,39, 40 seg4seg 7: pin 41, 4 , 5, 6 4個按鍵:sw0sw3: pin 19, 20, 21, 24 3、設(shè)計方案三、Verilog HDL代碼設(shè)計module ds(clk,led,dig,seg);/輸入input clk;/輸出output3:0 dig;o

4、utput7:0 seg;output4:0 led;/定義變量reg24:0 count;reg15:0 miao;reg4:0 leden;reg7:0 seg_r;reg3:0 dig_r;reg3:0 disp_dat;reg sec;reg num;reg1:0 flag; /計數(shù)變量reg1:0f2; /計數(shù)變量assign dig=dig_r;assign seg=seg_r;assign led=leden;/秒產(chǎn)生信號always(posedge clk)begincount=count+1b1;if(count=25d24000000)begincount=25b0;sec

5、=sec;endend/數(shù)碼管動態(tài)掃描always(posedge clk)begincase(count16:15)0:disp_dat=miao3:0;1:disp_dat=miao7:4;2:disp_dat=miao11:8;3:disp_dat=miao15:12;endcasecase(count16:15) /選擇數(shù)碼管顯示位0:dig_r=4b1110;1:dig_r=4b1101;2:dig_r=4b1011;3:dig_r=4b0111;endcaseendalways(posedge clk)begincase(disp_dat)4h0:seg_r=8hc0;4h1:se

6、g_r=8hf9;4h2:seg_r=8ha4;4h3:seg_r=8hb0;4h4:seg_r=8h99;4h5:seg_r=8h92;4h6:seg_r=8h82;4h7:seg_r=8hf8;4h8:seg_r=8h80;4h9:seg_r=8h90;default:seg_r=8hff;endcaseendalways(negedge sec) /數(shù)碼管倒計時及指示燈顯示 倒計時模塊beginif(flag=0)beginmiao3:0=miao3:0-1;if(miao3:0=4hf)beginmiao7:0=8h18; 主干道綠燈倒計時leden=5b01101; 主綠支紅flag

7、1:0=4h1; 計數(shù)轉(zhuǎn)向第二步endendelse if(flag1:0=4h1) beginmiao3:0=miao3:0-1b1;if(miao3:0=4hf)beginmiao7:4=miao7:4-1b1;if(miao7:4=4hf)beginleden4:2=3b110; 主干道黃燈flag1:0=4h2;miao7:0=8h06; 黃燈倒計時endelse miao3:0=4h9;endendelse if(flag1:0=4h2)beginmiao3:0=miao3:0-1b1;if(miao3:0=4hf)beginmiao7:4=miao7:4-1b1;if(miao7:

8、4=4hf)beginleden4:2=3b101; 主干道紅燈flag1:0=4h3;miao7:0=8h20;endelse miao3:0=4h9;endendelse if(flag1:0=4h3)beginmiao3:0=miao3:0-1b1;if(miao3:0=4hf)beginmiao7:4=miao7:4-1b1;if(miao7:4=4hf)beginleden4:2=3b011; 主干道綠燈 返回flag=1flag1:0=4h1;miao7:0=8h18;endelse miao3:0=4h9;endendelse flag1:0=4h0;if(f2=0)beginm

9、iao11:8=miao11:8-1;if(miao11:8=4hf)beginmiao15:8=8h24;/leden=6b011110;f21:0=4h1;endendelse if(f21:0=4h1)beginmiao11:8=miao11:8-1b1;if(miao11:8=4hf)beginmiao15:12=miao15:12-1b1;if(miao15:12=4hf)beginleden2:0=3b110;f21:0=4h2;miao15:8=8h14;endelse miao11:8=4h9;endendelse if(f21:0=4h2)beginmiao11:8=miao

10、11:8-1b1;if(miao11:8=4hf)beginmiao15:12=miao15:12-1b1;if(miao15:12=4hf)beginleden2:0=3b011;f21:0=4h3;miao15:8=8h06;endelse miao11:8=4h9;endendelse if(f21:0=4h3)beginmiao11:8=miao11:8-1b1;if(miao11:8=4hf)beginmiao15:12=miao15:12-1b1;if(miao15:12=4hf)beginleden2:0=3b101;f21:0=4h1;miao15:8=8h24;endelse

11、 miao11:8=4h9;endendelse f21:0=4h0;endendmodule四、系統(tǒng)調(diào)試1、邏輯功能模塊RTL級描述仿真圖五、總結(jié)在做本課程設(shè)計的過程中,從程序編寫到硬件實現(xiàn),我們遇到了許多問題。開始的時候,在編寫程序中無法實現(xiàn)賦初值,使程序無法初始化,led燈與數(shù)碼管不能同步。查詢資料后,設(shè)定兩個變量對程序進(jìn)行初始化,解決了出現(xiàn)的問題。由于對軟件使用不熟悉,軟件編譯頻頻出錯,通過對輔導(dǎo)資料的仔細(xì)研究,我們逐漸掌握它的使用方法,最終程序順利的進(jìn)行了編譯,在硬件聯(lián)機(jī)調(diào)試時依然是問題重重。在這次的課程設(shè)計中我們遇到的最大問題是將我們的原程序的下載到電路板上時,發(fā)現(xiàn)提示說寄存器不夠

12、的現(xiàn)象。在進(jìn)行焊接電路板的過程中,有些元器件安裝有些不容易,經(jīng)過練習(xí)熟悉后,進(jìn)一步熟練焊接工藝。經(jīng)過對程序的一些刪選,問題也得到了解決,最終通過我們不斷地努力,作品還是順利完成了。看到歷時近一周多的交通燈控制終于在我們的期待與苦惱中正常工作,我們都非常高興。在實驗中出現(xiàn)問題很正常,重要的是要努力克服,不為困難喪失信心,總能找到方法解決的。通過自己親手完成實驗并親自焊接電路板,經(jīng)測試后能夠?qū)崿F(xiàn)功能,心中喜悅之極,增強(qiáng)了實踐能力,以后要多多動手,提高自己的實踐能力。 經(jīng)過這次實踐,我感到我要學(xué)習(xí)的還有很多,深層次的知識非常缺乏,更加堅定了我多學(xué)專業(yè)知道的態(tài)度。同時,在同組合作伙伴的幫助下,解決了很多困難,充分表明了團(tuán)隊協(xié)作的重要性。六、參考文獻(xiàn)EDA技術(shù)實用教程VerilogHDL版 第四版 潘松Verilog數(shù)字系統(tǒng)設(shè)計教程 第二版 夏宇聞Verilog HDL實用教程 電子科技大學(xué)出版社 張明Verilog HDL硬件描述語言 機(jī)械工業(yè)出版社 徐振林教師評語:成績:日期:

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!