九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

單片機(jī)原理及應(yīng)用 課程設(shè)計(jì)報(bào)告書(shū)

上傳人:沈*** 文檔編號(hào):137184151 上傳時(shí)間:2022-08-18 格式:DOC 頁(yè)數(shù):10 大?。?23.50KB
收藏 版權(quán)申訴 舉報(bào) 下載
單片機(jī)原理及應(yīng)用 課程設(shè)計(jì)報(bào)告書(shū)_第1頁(yè)
第1頁(yè) / 共10頁(yè)
單片機(jī)原理及應(yīng)用 課程設(shè)計(jì)報(bào)告書(shū)_第2頁(yè)
第2頁(yè) / 共10頁(yè)
單片機(jī)原理及應(yīng)用 課程設(shè)計(jì)報(bào)告書(shū)_第3頁(yè)
第3頁(yè) / 共10頁(yè)

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《單片機(jī)原理及應(yīng)用 課程設(shè)計(jì)報(bào)告書(shū)》由會(huì)員分享,可在線閱讀,更多相關(guān)《單片機(jī)原理及應(yīng)用 課程設(shè)計(jì)報(bào)告書(shū)(10頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、單片機(jī)原理及應(yīng)用 課程設(shè)計(jì)報(bào)告書(shū)題 目:數(shù)字電壓表的設(shè)計(jì) 姓 名:杜典武學(xué) 號(hào):103521034專(zhuān) 業(yè):電氣工程及其自動(dòng)化 指導(dǎo)老師:萬(wàn)青老師設(shè)計(jì)時(shí)間:2012年11月1目 錄1. 引 言 . 11.1. 設(shè)計(jì)意義 . 11.2. 系統(tǒng)功能要求 . 12. 方案論證及方框圖 . 13. 單元電路設(shè)計(jì)細(xì)則 . 44. 調(diào)試及性能分析 . 95. 系統(tǒng)的電路原理圖 . 116. 電子元器件清單 . 127. 源程序清單 . 38.參考資料 . 29. 收獲 . 錯(cuò)誤!未定義書(shū)簽。1數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)數(shù)字電壓表的設(shè)計(jì)1. 引 言(1)設(shè)計(jì)意義:我們學(xué)習(xí)的是單片機(jī)這門(mén)課程,這

2、門(mén)課程最顯著的特點(diǎn)就是它是一門(mén)實(shí)用技術(shù)課程,它要求我們不僅僅要掌握扎實(shí)的理論基礎(chǔ),更重要的是要學(xué)會(huì)如何去真真利用它為我們的電路設(shè)計(jì)服務(wù),也只有通過(guò)課程設(shè)計(jì)這樣的動(dòng)手實(shí)踐才是我們掌握這門(mén)技術(shù)的最佳途徑,因此,我們開(kāi)設(shè)這樣的實(shí)踐是很重要的,也是我們努力去學(xué)習(xí)鉆研的動(dòng)力。數(shù)字電壓表設(shè)計(jì)意義:數(shù)字電壓表是采用數(shù)字化檢測(cè)技術(shù),把連續(xù)的模擬量(直流輸入電壓)換成不連續(xù)的、離散的數(shù)字形式并加以現(xiàn)實(shí)的儀表,克服了傳統(tǒng)模擬電壓表的讀書(shū)不方便和不精確等問(wèn)題。不能滿足數(shù)字化時(shí)代的需求,采用單片機(jī)的數(shù)字電壓表,由精度高、抗干擾能力強(qiáng),可擴(kuò)展性強(qiáng)集成方便,還可以與PC進(jìn)行實(shí)時(shí)通信。目前,由各種單片A/D轉(zhuǎn)換器構(gòu)成的數(shù)字

3、電壓表,已廣泛應(yīng)用于電子電工測(cè)量、工業(yè)自動(dòng)化儀表、自動(dòng)測(cè)試系統(tǒng)等智能化測(cè)量領(lǐng)域,展現(xiàn)了強(qiáng)大的生命力。與此同時(shí),由數(shù)字電壓表擴(kuò)展而成的各種通用及專(zhuān)用數(shù)字化儀器,也把電量及非電量測(cè)量技術(shù)提高到了嶄新的水平,因此,通過(guò)這次課程設(shè)計(jì)能讓我們了解這些知識(shí),為以后研究相關(guān)技術(shù)打下堅(jiān)實(shí)的基礎(chǔ)。(2)系統(tǒng)功能要求:簡(jiǎn)易數(shù)字電壓表可以測(cè)量05V范圍內(nèi)的8路輸入電壓值,并在4位LED數(shù)碼管上輪流顯示或單路選擇顯示。其測(cè)量最小分辨率位0.02V.2. 方案論證及方框圖(1)硬件設(shè)計(jì)方案及方框圖:1數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)數(shù)字電壓表系統(tǒng)設(shè)計(jì)方框圖數(shù)字電壓表測(cè)量電路是由A/D轉(zhuǎn)換、數(shù)字處理及顯示控制等

4、組成。A/D轉(zhuǎn)換由集成芯片ADC0809電路完成。ADC0809具有8路模擬輸入端口,地址線(第2325腳)可決定對(duì)哪一路模擬輸入作A/D轉(zhuǎn)換。第22引腳為地址鎖存控制,當(dāng)輸入為高電平時(shí),對(duì)地址信號(hào)進(jìn)行鎖存。第6引腳為測(cè)試控制,當(dāng)輸入一個(gè)2us寬電平脈沖時(shí),就開(kāi)始A/D轉(zhuǎn)換。第7引腳為A/D轉(zhuǎn)換結(jié)束標(biāo)志,當(dāng)A/D轉(zhuǎn)換結(jié)束時(shí),第7引腳輸出高電平。第9引腳為A/D轉(zhuǎn)換數(shù)據(jù)輸出允許控制,當(dāng)OE腳為高電平時(shí),A/D轉(zhuǎn)換數(shù)據(jù)從端口輸出。第10引腳為ADC0809的時(shí)鐘輸入端,利用單片機(jī)第30引腳的六分頻晶振頻率,再通過(guò)14024二分頻的得到1MHz的時(shí)鐘。單片機(jī)的P1/P3.0-P3.3端口作為4位LE

5、D數(shù)碼管顯示控制。P3.5端口用作單路顯示/循環(huán)顯示轉(zhuǎn)換按鈕,P3.6端口用作單路顯示時(shí)選擇顯示通道。P0端口用作A/D轉(zhuǎn)換數(shù)據(jù)輸入,P2端口用作ADC0809的A/D轉(zhuǎn)換控制。(2)軟件設(shè)計(jì)方案及方框圖:2數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)主程序流程圖系統(tǒng)剛上電時(shí),初始化程序主要執(zhí)行70H-77H內(nèi)存單元清0和P2口置0等準(zhǔn)備工作 。在剛上電時(shí),系統(tǒng)默認(rèn)為循環(huán)顯示8個(gè)通道的電壓值狀態(tài)。當(dāng)進(jìn)行一次測(cè)量后,將顯示每一通道的A/D轉(zhuǎn)換值,每個(gè)通道的數(shù)據(jù)顯示時(shí)間在1S左右。主程序在調(diào)用顯示子程序與測(cè)試子程序之間循環(huán)。3第5/18頁(yè)數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)A/D轉(zhuǎn)換測(cè)試子程序

6、流程圖A/D轉(zhuǎn)換測(cè)量子程序用來(lái)控制對(duì)ADC0809的8路模擬輸入電壓的A/D轉(zhuǎn)換,并將對(duì)應(yīng)的數(shù)值移入70H77H內(nèi)存單元。3. 單元電路設(shè)計(jì)細(xì)則(1)數(shù)碼管顯示器:數(shù)碼管的驅(qū)動(dòng)方式的不同,可以分為靜態(tài)式和動(dòng)態(tài)式兩類(lèi)。數(shù)碼管動(dòng)態(tài)顯示接口是單片機(jī)中應(yīng)用最為廣泛的一種顯示方式之一,動(dòng)態(tài)驅(qū)動(dòng)是將所有數(shù)碼管的8個(gè)顯示筆劃a,b,c,d,e,f,g,dp的同名端連在一起,另外為每個(gè)數(shù)碼管的公共極COM增加位選通控制電路,位選通由各自獨(dú)立的I/O線控制,當(dāng)單片機(jī)輸出字形碼時(shí),所有數(shù)碼管都接收到相同的字形碼,但究竟是那個(gè)數(shù)碼管會(huì)顯示出字4第6/18頁(yè)數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)形,取決于單片機(jī)對(duì)

7、位選通COM端電路的控制,所以我們只要將需要顯示的數(shù)碼管的選通控制打開(kāi),該位就顯示出字形,沒(méi)有選通的數(shù)碼管就不會(huì)亮。在輪流顯示過(guò)程中,每位數(shù)碼管的點(diǎn)亮?xí)r間為12ms,由于人的視覺(jué)暫留現(xiàn)象及發(fā)光二極管的余輝效應(yīng),盡管實(shí)際上各位數(shù)碼管并非同時(shí)點(diǎn)亮,但只要掃描的速度足夠快,給人的印象就是一組穩(wěn)定的顯示數(shù)據(jù),不會(huì)有閃爍感,動(dòng)態(tài)顯示的效果和靜態(tài)顯示是一樣的,能夠節(jié)省大量的I/O端口,而且功耗更低。(2)單片機(jī)的晶振電路:晶振是晶體振蕩器的簡(jiǎn)稱(chēng),在電氣上它可以等效成一個(gè)電容和一個(gè)電阻并聯(lián)再串聯(lián)一個(gè)電容的二端網(wǎng)絡(luò),電工學(xué)上這個(gè)網(wǎng)絡(luò)有兩個(gè)諧振點(diǎn),以頻率的高低分其中較低的頻率是串聯(lián)諧振,較高的頻率是并聯(lián)諧振。由

8、于晶體自身的特性致使這兩個(gè)頻率的距離相當(dāng)?shù)慕咏谶@個(gè)極窄的頻率范圍內(nèi),晶振等效為一個(gè)電感,所以只要晶振的兩端并聯(lián)上合適的電容它就會(huì)組成并聯(lián)諧振電路。這個(gè)并聯(lián)諧振電路加到一個(gè)負(fù)反饋電路中就可以構(gòu)成正弦波振蕩電路,由于晶振等效為電感的頻率范圍很窄,所以即使其他元件的參數(shù)變化很大,這個(gè)振蕩器的頻率也不會(huì)有很大的變化。晶振有一個(gè)重要的參數(shù),那就是負(fù)載電容值,選擇與負(fù)載電容值相等的并聯(lián)電容,就可以得到晶振標(biāo)稱(chēng)的諧振頻率。一般的晶振振蕩電路都是在一個(gè)反相放大器(注意是放大器不是反相器)的兩端接入晶振,再有兩個(gè)電容分別接到晶振的兩端,每個(gè)電容的另一端再接到地,這兩個(gè)電容串聯(lián)的容量值就應(yīng)該等于負(fù)載電容,請(qǐng)注

9、意一般IC的引腳都有等效輸入電容,這個(gè)不能忽略。本設(shè)計(jì)采用12MHz晶振,并聯(lián)兩個(gè)30pF電容構(gòu)成晶振電路。5第7/18頁(yè)數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)(3該模塊為數(shù)字電壓表的顯示模塊,主要由4位7端共陽(yáng)LED數(shù)碼管和PNP三極管構(gòu)成的選通電路構(gòu)成。其中,我們需注意共陽(yáng)數(shù)碼管的數(shù)字0-9的編碼,另外,每個(gè)位選管要與程序?qū)?yīng)。位選是通過(guò)單片機(jī)P3.0-P3.3口控制三極管的基極從而控制每位數(shù)碼管的選通。顯示模塊電路6第8/18頁(yè)數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)(4)ADC0809模塊: 結(jié)構(gòu)和轉(zhuǎn)換原理如圖(3-15)所示為ADC0809的內(nèi)部結(jié)構(gòu)框圖。ADC0809由3部分

10、組成:8路模擬量選通開(kāi)關(guān)、8位A/D轉(zhuǎn)換器和三態(tài)輸出數(shù)據(jù)鎖存器。 ADC0809允許8路模擬信號(hào)輸入,由8路模擬開(kāi)關(guān)選通其中一路信號(hào),模擬開(kāi)關(guān)受通道地址鎖存和譯碼電路的控制。當(dāng)?shù)刂锋i存信號(hào)ALE有效時(shí),3位地址C、B、A進(jìn)入地START6CLOCK7轉(zhuǎn)換結(jié)束(中斷)EOC模擬量輸入IN7IN6IN5IN4IN3IN2IN1IN0543218通道多路模擬開(kāi)關(guān)VxVcW1W2逐次逼近型寄存器SAR開(kāi)關(guān)樹(shù)組地址選擇ABC輸出緩三沖態(tài)鎖存器D7D6D5D4D3D2D1D0數(shù)字量輸出地址鎖存器和譯碼器ALE地址鎖存允許256R電阻分壓器9ENABLEVccGNDVREF(+)VREF(-)ADC0809

11、的內(nèi)部結(jié)構(gòu)ADC0809的引腳地址鎖存器,經(jīng)譯碼后使8路模擬開(kāi)關(guān)選通某一路信號(hào)。7第9/18頁(yè)數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)8位A/D轉(zhuǎn)換器為逐次逼近式,由256R電阻分壓器、樹(shù)狀模擬開(kāi)關(guān)(這兩部分組成一個(gè)D/A變換器)、電壓比較器、逐次逼近寄存器、邏輯控制和定時(shí)電路組成。三態(tài)門(mén)輸出鎖存器用來(lái)保存A/D轉(zhuǎn)換結(jié)果,當(dāng)輸出允許信號(hào)OE有效時(shí),打開(kāi)三態(tài)門(mén),輸出A/D轉(zhuǎn)換結(jié)果。因輸出有三態(tài)門(mén),便于與單片機(jī)總線連接。 引腳功能由引腳圖(3-15) b所示,ADC0809共有28個(gè)引腳,采用雙列直插式封裝。ADC0809 雖然有8路模擬通道可以同時(shí)輸入8路模擬信號(hào),但每個(gè)瞬間只能轉(zhuǎn)換一路,各路

12、之間的切換由軟件變換通道地址來(lái)實(shí)現(xiàn)。其主要引腳功能如下所示。IN0IN7:8路模擬量輸入端。D7D0:8位數(shù)字量輸出端。A、B、C:3位地址輸入線,用于選通8路模擬輸入中的一路。ALE:地址鎖存允許信號(hào),輸入,高電平有效。START: A/D轉(zhuǎn)換啟動(dòng)信號(hào),輸入,高電平有效。EOC: A/D轉(zhuǎn)換結(jié)束信號(hào),輸出,當(dāng)A/D轉(zhuǎn)換結(jié)束時(shí),此端輸出一 個(gè)高電平(轉(zhuǎn)換期間一直為低電平)。OE:數(shù)據(jù)輸出允許信號(hào),輸入,高電平有效。當(dāng)A/D轉(zhuǎn)換結(jié)束時(shí),此端輸入一個(gè)高電平,才能打開(kāi)輸出三態(tài)門(mén),輸出數(shù)字量。CLK:時(shí)鐘脈沖輸入端。要求時(shí)鐘頻率不高于640KHZ。REF(+)、REF(-):基準(zhǔn)電壓。Vcc:電源,單

13、一5V。GND:地。(5)通道選擇及單路/循環(huán)模塊:該模塊兩個(gè)按鍵開(kāi)關(guān)分別是控制單路/循環(huán)和通道選擇的,當(dāng)單路/循環(huán)按鍵未按下時(shí),數(shù)碼管上的通道位是循環(huán)顯示通道,當(dāng)該鍵按下時(shí),數(shù)碼管通道位顯示的是某一路通道,看起來(lái)就像暫停一樣,即單路測(cè)壓。另外一個(gè)按鍵通道選擇按鍵,當(dāng)你選擇單路時(shí),按一下通道選擇鍵,數(shù)碼管上的通道位顯示的數(shù)字會(huì)加1,即通道移向下一位測(cè)壓。8第10/18頁(yè)數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)通道選擇及單路/循環(huán)按鍵電路4. 調(diào)試及性能分析(1)調(diào)試方法及步驟:a.軟件仿真調(diào)試:首先將電路原理圖用Proteus7.0仿真軟件畫(huà)出來(lái),再用Keil單片機(jī)編程軟件將數(shù)字電壓表程序編

14、寫(xiě)出來(lái),通過(guò)Proteus7.0仿真軟件中與Keil軟件的聯(lián)調(diào)功能,將編好的程序?qū)雴纹瑱C(jī)中,在Proteus7.0中實(shí)現(xiàn)軟件仿真。b.實(shí)物調(diào)試:將硬件電路都連接完好后,將單片機(jī)編號(hào)的數(shù)字電壓表程序通過(guò)ISP程序燒入軟件燒入到單片機(jī)中,用直流可調(diào)電壓源給ADC0809的模擬輸入端口通以不同電壓值的電壓,將數(shù)字電壓表裝置上的數(shù)碼管上讀出的電壓值記錄下來(lái),與可調(diào)電壓源上顯示的標(biāo)準(zhǔn)值進(jìn)行比較并分析。實(shí)物調(diào)試數(shù)據(jù):數(shù)據(jù)誤差分析:a.絕對(duì)誤差任取三組進(jìn)行分析:9第11/18頁(yè).數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì) 4.23-4.2=0.02V 3.68-3.6=0.08V 3.18-3.2=-0.

15、02V由于我們用的可調(diào)直流電壓源只能精確0.1V,所以實(shí)際上,我們的誤差可以說(shuō)已經(jīng)可以忽略了,相當(dāng)?shù)男?。該?shù)字電壓表的設(shè)計(jì)的最高分辨率約為0.02V。我們因?yàn)椴恢罉?biāo)準(zhǔn)電壓值的小數(shù)點(diǎn)后第二位的數(shù)值,而無(wú)法估計(jì)誤差,但從這些數(shù)據(jù)上看,足以證明我們的此次設(shè)計(jì)相當(dāng)成功。b.相對(duì)誤差根據(jù) 相對(duì)誤差=(測(cè)試值-標(biāo)準(zhǔn)值)/標(biāo)準(zhǔn)值x100%在數(shù)據(jù)記錄表中任取3組值進(jìn)行分析: (4.23-4.2)/4.2*100%=7.1% (2.62-2.6)/2.6*100%=7.7% (3.18-3.2)/3.2*100%=-6.3%計(jì)算得的相對(duì)誤差也相當(dāng)小,充分說(shuō)明了此電壓表制作之成功。(2)性能分析: 從記錄表中可

16、以看出簡(jiǎn)易電壓表測(cè)得的值基本比標(biāo)準(zhǔn)表電壓值偏大,這可以通過(guò)校正ADC0809的基準(zhǔn)電壓來(lái)解決。因?yàn)樵撾妷罕碓O(shè)計(jì)時(shí)直接用5V的供電電源作為基準(zhǔn)電壓,所以電壓可能有偏差。 ADC0809的直流輸入阻抗為1M?,能滿足常用的電壓測(cè)試需要。另外,經(jīng)測(cè)試的ADC0809可直接在2MHz的時(shí)鐘頻率下工作,這樣可省去二分頻器14024集成塊。 當(dāng)要測(cè)量大于5V的電壓時(shí),可在輸入口使用分壓電阻,而程序中只要計(jì)算程序的被除數(shù)進(jìn)行調(diào)整就行了。但量程越大,測(cè)量精度就會(huì)越低。10第12/18頁(yè).數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)5. 系統(tǒng)的電路原理圖11第13/18頁(yè)數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)

17、6.電子元器件清單12第14/18頁(yè)數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì) 9 不亮 */ 小數(shù)第二位uchar code dis0=dis4/51; /scan_con4=0xf7,0xfb,0xfd,0xfe; for(h=0;h500;h+) /每個(gè)/四位列掃描控制字 通道值顯示時(shí)間控制(約1秒) uchar data ad_data8=0x00,0x00,0x00,0x00,0x0 for(k=0;k4;k+) /四位0,0x00,0x00,0x00;/定義8個(gè)數(shù)據(jù)內(nèi)LED掃描控制存單元 uint data Disdata=dis_7disk; dis5=0x00,0x00,0x0

18、0,0x00,0x00; if(k=2)DISX=0;/定義4個(gè)顯示數(shù)據(jù)單元、1個(gè)數(shù)據(jù)暫存單元 P3=scan_conk;delay1ms(1);P3=0xff; / / /*1毫秒延時(shí)子函數(shù)*/ dis3+; /通道delay1ms(uint t) 值加1 uint i,j; for(i=0;it;i+) /for(j=0;j120;j+) /; /*0809AD轉(zhuǎn)換子函數(shù) */ test()/ /*顯示掃描子函數(shù)uchar m;*/ uchar s=0x00;scan() ad_con=s; for(m=0;m8;m+)uchar k,n; int h; ALE=1;_nop_();_no

19、p_();ALE=0; dis3=0x00; /通道/轉(zhuǎn)換通道地址鎖存初值為0 START=1;_nop_();_nop_();START=0; for(n=0;n0;i-)for(j=110;j0;j-);void key_scan()if(key1=0)num=1;while(key1=0) ;if(key2=0)delay(10); /消抖延時(shí)if(key2=0)num=2; while(key2=0) ; /*主函數(shù)*/ main() P0=0xff; /初始化端口 P2=0x00; P1=0xff; P3=0xff; while(1) td_dx(); scan(); /依次顯示8個(gè)

20、通道值一次 test(); /測(cè)量轉(zhuǎn)換一次 /*結(jié)束*/8.參考資料1 新編MCS-51單片機(jī)應(yīng)用設(shè)計(jì) 張毅剛編著 哈爾濱 哈爾濱工業(yè)大學(xué)出版社 2008.32 電子技術(shù)基礎(chǔ) 模擬部分 康華光主編 北京 高等教育出版社 2006.13 電子技術(shù)基礎(chǔ) 數(shù)字部分 康華光主編 北京 高等教育出版社 2006.14 PROTEL DXP電路設(shè)計(jì)與實(shí)例精解 黎文模,段曉峰編著 北京 人民郵電出版社 20065 李科杰 新編傳感器技術(shù)手冊(cè)M 北京 國(guó)防工業(yè)出版社,19956 PROTEL DXP電子電路設(shè)計(jì)精彩范例 林庭雙等編著 北京 機(jī)械工業(yè)出版社 2005.67 微型計(jì)算機(jī)原理及應(yīng)用 鄭學(xué)堅(jiān),周斌編著

21、 北京 清華大學(xué)出版社20018 電路 邱關(guān)源編著 北京 高等教育出版社 19993第17/18頁(yè)數(shù)字電壓表的設(shè)計(jì) 單片機(jī)原理及應(yīng)用課程設(shè)計(jì)9.收獲選題時(shí),因?yàn)槭钇谛k娮痈?jìng)賽培訓(xùn)期間,我已經(jīng)做過(guò)了溫度傳感器,所以,我覺(jué)得要學(xué)到真東西,就要不斷挑戰(zhàn)新的東西,去鉆研。而且數(shù)字電壓表采用8位A/D轉(zhuǎn)換。可能懂的人認(rèn)為沒(méi)什么大不了的,對(duì)于沒(méi)有沒(méi)有接觸過(guò)A/D轉(zhuǎn)換芯片的我,太不容易了。我一心想做的完美些,加些難度,加些挑戰(zhàn)。這個(gè)設(shè)計(jì)的重點(diǎn)在于編程,通道選擇和單路/循環(huán)程序更增加了設(shè)計(jì)難度。為了盡量追求完美,我嘗試了很多次編程,發(fā)現(xiàn)有時(shí)候在別人的程序中加入自己的程序也是有難度的。調(diào)試程序時(shí),我遇到了很多困

22、難,例如:參考文獻(xiàn)錯(cuò)誤的誤導(dǎo),調(diào)試環(huán)境的錯(cuò)誤使用,硬件改裝,程序本身不可預(yù)見(jiàn)的錯(cuò)誤等。經(jīng)歷了,寫(xiě)程序,調(diào)試,仿真,沒(méi)有人告訴你錯(cuò)在那里,沒(méi)有人告訴你該怎么做,我在走沒(méi)人可借見(jiàn)的路,坎坷充滿荊棘的路。那短日子真的是難熬啊,幾乎每時(shí)每刻都在想辦法。有空就在紙上寫(xiě)畫(huà)著,最后,再歷經(jīng)多次調(diào)試后成功了,我高興的大喊大叫起來(lái),真的太難了,大家也很為我高興,做好一件事真的不容易!在以后的學(xué)習(xí)中我會(huì)更加努力的學(xué)習(xí)理論知識(shí),在理論的基礎(chǔ)上加強(qiáng)實(shí)踐,達(dá)到理論與實(shí)踐的更好結(jié)合。在這次的設(shè)計(jì)中也讓我明白了一個(gè)道理,只有多加練習(xí)運(yùn)用才會(huì)真正的吸收知識(shí),使之成為自己的東西。單片機(jī)這種東西不是在理論中練出高手的,而是實(shí)踐。光寫(xiě)程序也不行,你必須把它和硬件電路聯(lián)系起來(lái),不斷的調(diào)試,不斷地改程序,調(diào)試,再改程序,在調(diào)試,在經(jīng)歷無(wú)數(shù)次的修改之后,你會(huì)發(fā)現(xiàn)你的程序是多么的完美,越來(lái)越完美!那種成就感不言而喻,也正是這種頭疼的過(guò)程后綻放的美麗讓你越來(lái)越癡迷上它了。單片機(jī)技術(shù)也在這種無(wú)形之中被你慢慢掌握甚至到達(dá)精通!這種魅力太精彩了!這也許就是為什么有時(shí)看程序看到頭疼你還不由自主的堅(jiān)持著的原因吧!因?yàn)槟阋呀?jīng)愛(ài)上它了。2第18/18頁(yè)

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!