九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

EDA課程設(shè)計《電梯控制器》

上傳人:suij****uang 文檔編號:161146428 上傳時間:2022-10-12 格式:DOCX 頁數(shù):30 大?。?62.61KB
收藏 版權(quán)申訴 舉報 下載
EDA課程設(shè)計《電梯控制器》_第1頁
第1頁 / 共30頁
EDA課程設(shè)計《電梯控制器》_第2頁
第2頁 / 共30頁
EDA課程設(shè)計《電梯控制器》_第3頁
第3頁 / 共30頁

下載文檔到電腦,查找使用更方便

30 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《EDA課程設(shè)計《電梯控制器》》由會員分享,可在線閱讀,更多相關(guān)《EDA課程設(shè)計《電梯控制器》(30頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、前言11緒論21.1課程設(shè)計題目21.2設(shè)計目的21.3課程設(shè)計要求21.4課程設(shè)計思想32軟件介紹43課程設(shè)計步驟63.1狀態(tài)機的基本原理63.2電梯控制器的功能模塊63.3電梯控制器的流程圖73.4電梯控制器的VHDL描述模塊流程83.5實體設(shè)計83.6結(jié)構(gòu)體設(shè)計83.7 VHDL源代碼語法的簡單說明93.8電梯輸入輸出端口圖104三層電梯控制器的調(diào)試及仿真114.1程序的調(diào)試114.2波形仿真115課程設(shè)計的意義155.1設(shè)計背景155.2課程設(shè)計的意義155.2.1中國電梯的現(xiàn)狀155.2.2電梯的節(jié)能和環(huán)保165.2.3電梯的智能化166總結(jié)17參考文獻18附錄19冃U言電梯作為垂直

2、方向的交通工具,在高層建筑和公共場所已成為不可或缺 的設(shè)備。中國是全球最大的電梯市場,也具有最強的電梯生產(chǎn)能力,但由于 缺乏自主知識產(chǎn)權(quán)和核心技術(shù),自主品牌占市場的份額很少。因此要加大對 電梯技術(shù)的創(chuàng)新和發(fā)展,提升電梯的性能,就需要引進更好的技術(shù),電梯控 制器就是很好的裝置,大力開發(fā)控制器是很必要的。電梯控制器可以有很多實現(xiàn)方式,本設(shè)計用了 EDA技術(shù)進行操作。EDA 技術(shù)打破了軟件和硬件間的壁壘,使計算機的軟件技術(shù)與硬件實現(xiàn)、設(shè)計效 率與產(chǎn)品性能合二為一,它代表了電子設(shè)計技術(shù)和應(yīng)用技術(shù)的發(fā)展方向。 VHDL主要用于描述數(shù)字系統(tǒng)的接口,結(jié)構(gòu)和功能,它的語法簡單易懂, 移植性好。我設(shè)計的是一個3

3、層電梯控制器。分為主控制器和分控制器。主控制器 是電梯內(nèi)部的控制器,每層電梯入口處有一個分控制器。本設(shè)計采用VHDL, 源程序Altera公司的Quartus II軟件仿真。運用有限狀態(tài)機的設(shè)計方法,設(shè) 計了兩個進程相互配合,狀態(tài)機進程作為主要進程,信號燈控制進程作為輔 助進程。在主進程中定義了十個狀態(tài),ST0P0N1,DOOROPEN, DOORCLOSE,DOORWAIT1,D00RWAIT2, D00RWAIT3, D00RWAIT4, UP,DOWN,STOP。在電梯時鐘的觸發(fā)下,通過當(dāng)前狀態(tài)和信號燈信號來 判定下一狀態(tài)。信號燈控制進程中,信號燈信號存儲按鍵請求情況,它的熄 滅是由狀態(tài)

4、機進程中傳出的信號來控制。1緒論電梯控制器由硬件和軟件兩大部分組成。硬件包括控制器、控制器地板、 讀卡天線、電源、寫卡器、IC卡片等組成內(nèi)呼控制器,軟件包括下位機運行 控制軟件、上位機寫卡授權(quán)軟件。我設(shè)計的三層電梯控制器主要應(yīng)用EDA電 子電路技術(shù)。EDA的發(fā)展使得設(shè)計更具有靈活性。隨著我國經(jīng)濟持續(xù)增長、城鎮(zhèn)化建設(shè)的加速和房地產(chǎn)行業(yè)的進一步發(fā)展, 對電梯的需求越來越大。所以電梯控制器就需要大強度的發(fā)展,來滿足社會 的需求。電梯的發(fā)展更加的智能化,節(jié)約化。對社會的發(fā)展,人民生活水平 的提咼具有很大的意義。所以加大對電梯控制器的發(fā)展,和技術(shù)上的研究是 很重要的。隨著EDA技術(shù)發(fā)展和應(yīng)用領(lǐng)域的擴大與

5、深入,EDA技術(shù)在電子信息、 通訊、自動控制及計算機應(yīng)用等領(lǐng)域的重要性突出。隨著技術(shù)市場與人才市 場對EDA的需求不斷提高,產(chǎn)品的市場需求和技術(shù)市場的要求也必然會反映 到教學(xué)領(lǐng)域和科研領(lǐng)域中來。EDA技術(shù)的提高,促進了電梯控制器的發(fā)展。電梯行業(yè)也隨著科技的發(fā)展,不斷地出現(xiàn)在人們生活的各個場所,因此, 對電梯控制器的設(shè)計是一個很實用的例子,對掌握EDA技術(shù)的應(yīng)用也有很大 的幫助。1.1課程設(shè)計題目電梯控制器1.2設(shè)計目的進一步鞏固理論知識,培養(yǎng)所學(xué)理論知識的在實際中的應(yīng)用能力;掌握 EDA設(shè)計的一般方法;熟悉一種EDA軟件,掌握一般EDA系統(tǒng)的調(diào)試方法; 利用EDA軟件設(shè)計一個電子技術(shù)綜合問題,

6、培養(yǎng)VHDL編程、書寫技術(shù)報 告的能力。為以后進行工程實際問題的研究打下設(shè)計基礎(chǔ)。1.3課程設(shè)計要求設(shè)計一電梯控制器實現(xiàn)如下功能:一個3層電梯控制器。分為主控制器和分控制器。主控制器是電梯內(nèi)部 的控制器,每層電梯入口處有一個分控制器。主控制器的功能如下:1在電梯開關(guān)時相應(yīng)請求,否則不響應(yīng);2電梯初始位置是一層;3.電梯運行時,指示方向和當(dāng)前所在樓層;4電梯每秒升降一層5.當(dāng)電梯到達所請求的樓層時,自動開門,等待4秒后自動關(guān)門,繼續(xù) 運行,如果沒有請求信號,停留在當(dāng)前樓層;6收到請求后,自動到達用戶所在樓層,自動開門;7. 記憶電梯內(nèi)外所有請求,并按電梯運行順序執(zhí)行,在執(zhí)行后清除請求;8. 電梯

7、運行規(guī)則:當(dāng)電梯處于上升狀態(tài)時,僅響應(yīng)比電梯位置高的用戶 的請求;當(dāng)電梯處于下降狀態(tài)時,僅響應(yīng)比電梯位置更低的用戶請求;分控制器的功能如下:1設(shè)有上升請求按鈕和下降請求按鈕,實時檢測用戶按鍵;2. 指示電梯當(dāng)前坐在樓層3. 當(dāng)電梯到達本層是,清除請求。1.4課程設(shè)計思想實驗?zāi)M生活中電梯運動控制,電梯總共三層,最簡單的控制思想如下: 采集用戶呼叫樓層,并放入相應(yīng)的記憶單元中;不考慮電梯轎廂所在樓層。 若有用戶呼叫,電梯上行直到達到用戶呼叫最大層,再下行直到到達最低層, 由此構(gòu)成一次行程;每完成一次行程,檢測是否所用用戶呼叫均已響應(yīng)完畢。 如果沒有,電梯繼續(xù)運行,直到響應(yīng)完所有用戶呼叫。否則,電

8、梯停止運行; 其中用戶請求包括外部請求和內(nèi)部請求。有外部升降請求信號需點亮相應(yīng)的 外部請求指示燈,內(nèi)部升降請求信號同外呼;根據(jù)電梯所在樓層,七段數(shù)碼 管顯示樓層數(shù);根據(jù)用戶運行方向,點亮相應(yīng)升降指示燈;當(dāng)電梯運行到有 相應(yīng)外部呼叫或內(nèi)部呼叫樓層時,電梯停止運行,滅掉相應(yīng)的呼叫顯示燈, 電梯開關(guān)門后,繼續(xù)運行。電梯控制器設(shè)計兩個進程相互配合,狀態(tài)機進程作為主要進程,信號燈 控制進程作為輔助進程。根據(jù)電梯的實際工作情況,可以為狀態(tài)機設(shè)置十個 狀態(tài),它們分別是“電梯停在一層” “開門” “關(guān)門” “開門等待第一秒” “開 門等待第二秒”“開門等待第三秒”“開門等待第四秒”“上升”“下降”和“停 止”

9、。由于電梯每秒上升或下降一層,則可以用周期為1S的信號作為電梯狀 態(tài)轉(zhuǎn)換的觸發(fā)時鐘。狀態(tài)機進程中的很多判斷條件是以信號燈控制進程產(chǎn)生 的信號燈信號為依據(jù),而信號燈控制進程中信號燈的熄滅又是由狀態(tài)機進程 中傳出的信號來控制。2軟件介紹Quartus設(shè)計軟件是Altera提供的完整的多平臺設(shè)計環(huán)境,能夠直接滿足 特定設(shè)計需要,為可編程芯片系統(tǒng)(SOPC)提供全面的設(shè)計環(huán)境。Quartus 軟件含有FPGA和CPLD設(shè)計所有階段的解決方案。Quartus II作為一種可編程邏輯的設(shè)計環(huán)境,由于其強大的設(shè)計能 力和直觀易用的接口,越來越受到數(shù)字系統(tǒng)設(shè)計者的歡迎。Quartus II(3.0和更高版本)

10、設(shè)計軟件是業(yè)界唯一提供FPGA和固定功能HardCopy器件統(tǒng)一設(shè)計流程的設(shè)計工具。工程師使用同樣的低價位 工具對Stratix FPGA進行功能驗證和原型設(shè)計,又可以設(shè)計HardCopy Stratix 器件用于批量成品。系統(tǒng)設(shè)計者現(xiàn)在能夠用Quartus II軟件評估HardCopy Stratix器件的性能和功耗,相應(yīng)地進行最大吞吐量設(shè)計。Altera的Quartus II可編程邏輯軟件屬于第四代PLD開發(fā)平臺。該平臺支 持一個工作組環(huán)境下的設(shè)計要求,其中包括支持基于In ter net的協(xié)作設(shè)計。 Quartus 平臺與 Cadence、xemplarLogic、MentorGraph

11、ics、ynopsys和 Synplicity 等EDA供應(yīng)商的開發(fā)工具相兼容。改進了軟件的LogicLock模塊設(shè)計功能,增 添了 FastFit編譯選項,推進了網(wǎng)絡(luò)編輯性能,而且提升了調(diào)試能力。2.0版Quartus II設(shè)計軟件現(xiàn)在除了支持 Altera的APEX 20KE,APEX 20KC,APEX II,ARM 的 Excalibur 嵌入處理器方案,Mercury,F(xiàn)LEX10KE 和 ACEX1K 之外,還支持 MAX3000A,MAX7000 系列乘積項器件。 MAX3000A和MAX7000設(shè)計者現(xiàn)在可以使用 QuartusII設(shè)計軟件中才有 的所有強大的功能。Quartu

12、sII2.0安裝軟件為290M,完全安裝為700M,如果定制安裝,不 選擇Excalibur嵌入處理器,則安裝所需空間為460M,比QuartusII1.1版本減少一半以上的空間要求,卻能支持ALTERA全部芯片的開發(fā)。同時軟件的裝載,編譯,仿真速度比1.1版本大大加快。QuartusII2.0設(shè)計軟件通過增強層次LogicLock模塊級設(shè)計方式,將 性能平均改善15%。LogicLock設(shè)計流程把整個模塊的放置交由設(shè)計者控 制,如果必要的話,可以采用輔助平面布置。LogicLock設(shè)計流程運行設(shè) 計者單獨地優(yōu)化和鎖定每個模塊的性能,在大型 SOPC設(shè)計的構(gòu)建過程 中也保持整個系統(tǒng)的性能。2.

13、0版Quartus II設(shè)計軟件把新的LogicLock 設(shè)計流程算法集成到未來的Altera器件中,該算法充分利用了模塊級設(shè) 計的優(yōu)勢。QuartusII2.0增加了一個新的快速適配編譯選項,選擇中這個選項, 將會比缺省設(shè)置要縮短50%的編譯時間??焖龠m配功能保留了最佳性能 的設(shè)置,加快了編譯過程。這樣布局適配算法反復(fù)的次數(shù)更少,編譯速度更快,對設(shè)計性能的影響最小。2.0版Quartus II設(shè)計軟件引入了新的功能,加快驗證過程,這通常 是SOPC設(shè)計流程中最漫長的階段。在最初的編譯時間中,新的 SignalProbe技術(shù)允許用戶在保留設(shè)計最初布線,時限和設(shè)計文件的同時 把內(nèi)部節(jié)點引到未用的

14、管腳進行分析。SignalProbe技術(shù)完成了現(xiàn)有 SignalTap嵌入邏輯分析的功能。而且,設(shè)計者能夠使用新版本中提供的 HDL測試模板快速地開發(fā)HDL仿真矢量。2.0版Quartus II設(shè)計軟件也可以自動地從 QuartusII仿真器波形文件 中創(chuàng)建完整的HDL測試平臺。2.0版Quartus II設(shè)計軟件也支持高速I/O設(shè)計,生成專用I/O緩沖 信息規(guī)范(IBIS )模型導(dǎo)入到常用的EDA信號集成工具中。IBIS模型根據(jù) 設(shè)計中每個管腳的I/O標準設(shè)置來定制,簡化第三方工具的分析。3課程設(shè)計步驟3.1狀態(tài)機的基本原理狀態(tài)機是表示有限個狀態(tài)以及這些狀態(tài)之間的轉(zhuǎn)移和動作等行為的數(shù)學(xué) 模型

15、,它是由一組狀態(tài)、一個初始狀態(tài)、輸入和根據(jù)輸入及現(xiàn)有狀態(tài)轉(zhuǎn)換為 下一個狀態(tài)的轉(zhuǎn)換函數(shù)組成。有限狀態(tài)機是一種基本的、簡單的、重要的形 式化技術(shù),在軟件設(shè)計中常常采用。它是描述一個由有限個獨立狀態(tài)組成的 過程,這些狀態(tài)可以互相遷移,直到最終離開這個過程。采用有限狀態(tài)機可 以使設(shè)計過程直觀簡單易于理解,隨著硬件設(shè)計軟件化趨勢的加劇,在運用 VHDL硬件描述語言設(shè)計數(shù)字系統(tǒng)時,利用有限狀態(tài)機成為了可靠方便的途 徑??刂破髯鳛殡娮酉到y(tǒng)設(shè)計的核心部分,在EDA軟件平臺上,借助有限狀 態(tài)機表示方法符合人的邏輯思維的特征,將控制功能用有限狀態(tài)機來建模實 現(xiàn),有許多優(yōu)越之處,以使FSM成為大型控制電路設(shè)計的有力

16、工具。除了輸人信號、輸出信號外,狀態(tài)機還包含一組寄存器記憶內(nèi)部狀態(tài)。 狀態(tài)機寄存器的下一個狀態(tài)及輸出,不僅同輸入信號有關(guān),而且還與寄存器 的當(dāng)前狀態(tài)有關(guān),狀態(tài)機有兩個主要部分:即組合邏輯和寄存器部分。組合 邏輯部分又可分為狀態(tài)譯碼器和輸出譯碼器,狀態(tài)譯碼器確定狀態(tài)機的下一 個狀態(tài),即確定狀態(tài)機的激勵方程,輸出譯碼器確定狀態(tài)機的輸出,即確定 狀態(tài)機的輸出方程。3.2電梯控制器的功能模塊電梯控制器的功能模塊如圖4-1所示,包括主控制器、分控制器、樓層 選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客在電梯中選擇所要到達的 樓層,通過主控制器的處理,電梯開始運行,狀態(tài)顯示器顯示電梯的運行狀 態(tài),電梯所在

17、樓層數(shù)通過譯碼器譯碼從而在樓層顯示器中顯示。分控制器把 有效的請求傳給主控制器進行處理,同時顯示電梯的運行狀態(tài)和電梯所在樓 層數(shù)。由于分控制器相對簡單很多,所以主控制器是核心部分。圖3-1電梯控制器原理圖圖3-3電梯控制主流程圖3.4電梯控制器的VHDL描述模塊流程三層電梯控制器的設(shè)計主要是對實體和結(jié)構(gòu)體的設(shè)計,它的VHDL描述 模塊流程如圖4-4所示:圖3-4三成電梯控制器的VHDL描述模塊流程3.5實體設(shè)計實體設(shè)計即是對端口名、端口模式及數(shù)據(jù)類型的說明。首先考慮輸入端 口,一個異步復(fù)位端口 “RESET”,用于當(dāng)電梯出現(xiàn)非正常情況時回到初始狀 態(tài);在電梯外部,一層入口處設(shè)有上升請求端,二層

18、入口處設(shè)有上升和下降 請求端,三層入口處設(shè)有下降請求端;在電梯內(nèi)部,應(yīng)設(shè)有各層停站請求端 口; 一個電梯時鐘輸入端口,它提供周期為Is的時鐘信號,用作電梯狀態(tài)轉(zhuǎn) 換的觸發(fā)時鐘;還有一個頻率很高的按鍵時鐘輸入端口。其次考慮輸出端口, 當(dāng)有各層上升或下降請求時,各層入口處應(yīng)該有端口顯示請求是否被響應(yīng), 有請求時端口輸出邏輯 1,被執(zhí)行后則恢復(fù)成邏輯0;同樣的,電梯 內(nèi)部也應(yīng)有各層停站請求是否被響應(yīng)的指示端口; 一個開關(guān)門指示端口,當(dāng) 門開著時,它為邏輯 1,門關(guān)著時,則為邏輯0;還需要端口來顯示 電梯所處的位置和模式(上升或下降)。3.6結(jié)構(gòu)體設(shè)計在結(jié)構(gòu)體中,首先說明了狀態(tài)機設(shè)置的十個狀態(tài),分別是

19、:電梯停在1 層(STOPON1)、開門(DOOROPEN)、關(guān)門(DOORCLOSE)、開門等待第 1 秒(D00RWAIT1)、開門等待第2秒(D00RWAIT2)、開門等待第3秒 (D00RWAIT3)、開門等待第 4 秒(D00RWAIT4)、上升(UP)、下降(DOWN) 和停止(STOP)。在結(jié)構(gòu)體最前端用如下的定義語句,來定義狀態(tài)機。TYPE LIFT_STATE IS (STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DO ORWAIT3,DOORWAIT4,UP,DOWN,STOP);圖3-5狀態(tài)圖接著描述電梯內(nèi)部功能實現(xiàn),在結(jié)構(gòu)

20、體中設(shè)計了兩個進程,一個狀態(tài)機 進程(CTRLIFT),它是以RESET和LIFTCLK作為敏感信號,控制電梯的 狀態(tài)轉(zhuǎn)移;另外一個是信號燈控制進程(CTRLIGHT),它是以RESET和 BUTTONCLK作為敏感信號,控制寄存信號的邏輯值。在狀態(tài)機進程中,電 梯關(guān)門后根據(jù)信號燈的情況,來決定下一個狀態(tài)是上升、下降還是停止;在 信號燈控制進程中,由于使用了專門的頻率較高的按鍵時鐘,所以使得按鍵 的靈敏度大,但是時鐘頻率不能過高,否則容易使按鍵過于靈敏,而信號燈 的熄滅是由狀態(tài)機進程中傳出CLEARUP和CLEARDN信號來控制。3.7 VHDL源代碼語法的簡單說明本程序設(shè)計調(diào)用了 IEEE庫

21、,IEEE庫是VHDL設(shè)計中最為常用的庫,它包含有IEEE標準的程序包和其他一些支持工業(yè)標準的程序包。本設(shè)計米用 STD-LOGIC-1164、STD-LOGIC-UNSIGNED、STD-LOGIC-ARITH 程序包。以關(guān)鍵詞ENTITY引導(dǎo),END ENTITY THREELIFT結(jié)尾的部分是程序 的實體部分。VHDL的實體描述了電路器件的外部情況,本設(shè)計定義了關(guān)于 三層電梯控制器用到的各類時鐘、異步復(fù)位按鍵、信號燈指示端口、電梯的 請求端口。它描述了端口模式主要有IN、BUFFER、OUT,以及各端口信號 的數(shù)據(jù)類型主要有 STD-LOGIC、STD-LOGIC-VECTOR、INTE

22、GERo以關(guān)鍵詞 ARCHITECTURE 引導(dǎo),END ARCHITECTURE ONE 結(jié)尾的 語句部分是結(jié)構(gòu)體部分,結(jié)構(gòu)體描述電路器件的內(nèi)部邏輯功能。3.8電梯輸入輸出端口圖BUTTONCLKPOSITION 1.0LIFTCLKUDSIGRESETFUPLIGHrr3.1F1UPBUTTONFDMLIGHT3.1F2UPBUTTONSTOPLIGHTI3.1F2DN BUTTONDOORLIGHTF3DN BUTTONDCUT13.OSTOP1 BUTTONSTOP2BUTTONSTOP3BUTTON: Z|X : : : : Z|X : 5宀圖3-6電梯輸入輸出口4三層電梯控制器的調(diào)

23、試及仿真4.1程序的調(diào)試在程序編寫完成并保存于工程文件夾后,可以把它置頂進行編譯,看是 否出現(xiàn)錯誤。在第一次編譯時出現(xiàn)了很多問題,主要是由于沒有注意到文件 名必須與實體名相同,并且后綴是.VHD,還有粗心所造成的端口名書寫錯誤, 以及有的IF語句結(jié)束時忘了寫END IF。在波形仿真中,發(fā)現(xiàn)一個錯誤,在 狀態(tài)進程中,在當(dāng)前狀態(tài)為DOORCLOSE,討論次態(tài)的過程中,忽略了對本 層請求的考慮,即當(dāng)電梯停在某層時,當(dāng)前層入口處有上升或下降請求時, 無法響應(yīng)。經(jīng)過思考,我添加了本層請求響應(yīng)語句,最終實現(xiàn)了三層電梯控 制器的功能。4.2波形仿真在波形仿真中,根據(jù)實際,我們有必要做一些假設(shè),即是:1.外部

24、請求上升的乘客,進入電梯后一定是按更高層的停站按鈕; 2外部請求下降的乘客,進入電梯后一定是按更低層的停站按鈕;3.如果有乘客進入電梯,則一定有停站請求;4同一時刻有很多人按鍵的概率很小,所以我們認為請求信號都有一定 的先后順序。設(shè)定仿真時間長度為 200us,LIFTCLK信號為周期10ns的時鐘信 號,BUTTONCLK信號為周期1ns的時鐘信號。DOORLIGHT信號邏輯1 表示開門,邏輯0表示關(guān)門。UDSIG信號為邏輯1表示電梯處在上升 模式,邏輯 0表示處在下降模式。FUPLIGHT,FDNLIGHT,STOPLIGHT 是三位二進制向量,波形圖中的1代表“001”,表示一層有請求,

25、2代表“010”, 表示二層有請求,4代表“100”,表示三層有請求。圖4-1所示的波形是在一層有上升請求的仿真波形,在RESET信號產(chǎn)生 一個脈沖時,電梯回復(fù)初始狀態(tài),即STOPON1狀態(tài),然后等待4s,關(guān)門檢 測沒有請求信號,于是電梯此時停在一層。當(dāng)電梯時鐘上升沿檢測到一層上 升請求信號FUPLIGHT為“ 1”時,電梯開門,F(xiàn)UPLIGHT(1)清零,等待 4s,關(guān)門檢測到二層停站請求,于是電梯上升到二層停止,開門STOPLIGHT(2) 清零,POSITION信號由1變?yōu)?,電梯最終停在二層。9.6 nsPointer:Start: |34.19 nsInterval:24.59 ns

26、| 怎 Master Time Bar:圖4-1有上升請求的仿真波形圖4-2所示的波形是三層有下降請求的波形,三樓有請求時FDNLIGHT 顯示喂“100”為4。當(dāng)電梯在一層關(guān)門后,檢測到FDLIGHT為“100”,則 上升到三層,相應(yīng)過請求后,F(xiàn)DNLIGHT變?yōu)椤?00”。開門等待4s,關(guān)門 檢測到STOPLIGHT為“1”,于是電梯下降到一層,最終停在一層。POSITION 在一樓為“ 1”,在二樓為“2”,在三樓為“3”。Master Time Bar: |9.6 ns Pointer: |81.92 nsInterval: |72.32 nsStart: |End:Name200 n

27、s60. Cn100.j HE140.0 ns180.址220.ns260.ns300-,ns9. 6 ns2. 6 n=P0BI.ITTONCLECA 11D00RUGHT丸LI1112 noi.rriA 3:X31X 210FIBPBBTTONA 0F2DNB1TTT0NA 09F2BPBBTT0NA 0*10F3DNB1TTT0NA 011+ FDNLIGHTA Li0LOJ參15 FlfTLIGHTA LU0P19LIFTCLKA 120S POSITIONA 3t1:2X3X1*23EESETA 01*24ST0P1BUTT0KA 025ST0P2BUTT0N丸LI1*26ST0P3

28、BUTT0NA 0127 STOFUGHTA LU0X10:抄31l.fliSIGA 0JLJ圖4-2有下降請求的仿真波形圖4-3所示的波形是二層和三層都有下降請求的仿真波形,當(dāng)電梯在一 層關(guān)門后,檢測到FDNLIGHT為“010”為2,說明二樓有下降請求,然后 檢測到FDNLIGHT為“ 110”為6,說明二樓三樓都有下降請求,則直接上 升到三層,開門后FDNLIGHT(3)清零,等待4s后,關(guān)門下降到二層停止, 開門后FDNLIGHT(2)和STOPLIGHT(2)清零,再下降到一層。9.6 ns1 Pointer: |Start: |Master Time Bar:92.97 nsInt

29、erval:Enc圖4-3有多個下降請求的仿真波形圖4-4所示的波形為有多個停站請求的仿真波形,電梯在一層關(guān)門后, 檢測到有二樓停站請求,STOPLIGHT為“010”,又有三樓停站請求,所以 STOPLIGHT為“110”,則上升至二層停止開門,STOPLIGHT清零,等 待4s后關(guān)門,繼續(xù)上升至三層,開門后STOPLIGHT(3)清零,乘客下站后電 梯最終停在三層。Master Time Bar: |9.6 ns彳 Pointer:98.56nsInterval:188.96 nsStart:1End:40.0 ns120.0 ns160.240.0 ns280.0 ns320.0 nsi

30、1iN am e9. 6 ns10El.rTTONCLlLA 1妙1IiOORUGKTA 0L lI11 1I參2 D0UT1A 31*2X3ATFll.TEl.fTTONA 0rnF2DHBUTT0NA 0A9F2UFBUTT0NA 0 10F3DHBUTT0NA 011田 FDNLIGHTA 0L尋15 FUFUGHTA 00:EHX0 19UFTCLKA 120H POSITIONA 312X3A 23EESETA 0ST0F1BUTT0NA 0A 25ST0F2EUTT0NA 0nA 26ST0F3EUTT0NA 027田 STOFUGKTA 006X4X0襯31imsiGA 01|圖

31、4-4有多個停站請求的仿真波形圖4-5所示,二層同時有上升和下降請求,FUPLIGHT (2)和FDNLIGHT(2)都為“1”,電梯上升至二層,門打開等待4s,關(guān)門后檢測到STOPIGHT 和FDNLIGHT(2)都為“1”,它會先上升至三層,再下降至二層,待有下降請 求的乘客上電梯后,最后下降至一層。鳥 Master Time Bar:Pointer:|_81.83 nsInterval:72.23 nwStart:End:鈕2畛TP9畛10參11參15畛19參20畛23畛24M25126參2T31Value at9. 6 ns200 ns60. 0 ns工6 ns100.0 ns 140

32、.0 ns180.0 ns 220. 0 ns 260. 0 ns 300.0 ns340.0 nsBUTTONCLKk 1DOOmGHTA 0田 D0UT1A 3FIUPBUTTOITk 0F2DKBUTT0Wk 0F21.TBl.rnOKA 0F3DffBirrT01TA 0國 FIIHUGHTA 0田 FUFUGHTA LiUFTCIZA 1S POSITIOffA 3RESET九0ST0F1BUTT0NA 0ST0P2BUTT0Hk 0ST0P3BUTT0M九0S ETDFUGHTA 0IHSIGk 0Name12X32I:1n0::2X3CO2X012X3X:2:1Jnn0X, t4

33、1沖1/:rTiWf?iVrviVr?i :Wrii-i-圖4-5同時有上升和下降請求的仿真波形從前面所有的仿真波形來看,電梯的運行情況完全符合它的運行規(guī)則。5課程設(shè)計的意義5.1設(shè)計背景近年來,隨著我國房地產(chǎn)業(yè)的持續(xù)高速發(fā)展,高層建筑越來越多。因此, 一種能使人們快速、便捷地到達目的樓層的電梯便應(yīng)運而生了。分析近幾年 房地產(chǎn)業(yè)的發(fā)展趨勢,特別是商品住宅的高速發(fā)展,將使住宅對電梯的需求 量持續(xù)攀升。人們對電梯安全性、高效性、舒適性的不斷追求也推動了電梯 技術(shù)的進步。隨著電梯技術(shù)的發(fā)展,綠色化、低能耗、智能化、網(wǎng)絡(luò)化、藍牙 技術(shù)的電梯成為一段時間內(nèi)的發(fā)展趨勢。為保證人們能更安全、更快捷地到 達目

34、的樓層,實現(xiàn)人性化的功能,對電梯系統(tǒng)中的控制部分進行優(yōu)化設(shè)計是 非常必要。因此這部分的設(shè)計也就成了在電梯設(shè)計領(lǐng)域里最為核心的技術(shù)。 為了實現(xiàn)電梯的智能化,可以采用許多方法。它的智能化控制可以有以下幾 種形式:1.PLC控制;2.單板機控制;3.單片機控制;4.單微機控制;5.多微 機控制;6人工智能控制。隨著EDA技術(shù)的快速發(fā)展,電子設(shè)計自動化(EDA)逐漸成為重要的 設(shè)計手段,已經(jīng)廣泛應(yīng)用于模擬與數(shù)字電路系統(tǒng)等許多領(lǐng)域。它是一種實現(xiàn) 電子系統(tǒng)或電子產(chǎn)品自動化設(shè)計的技術(shù),與電子技術(shù)、微電子技術(shù)的發(fā)展密 切相關(guān),并吸收了計算機科學(xué)領(lǐng)域的大多數(shù)最新研究成果,以高性能的計算 機作為工作平臺,促進了工

35、程發(fā)展。傳統(tǒng)單片機設(shè)計的電梯控制外圍電路復(fù) 雜,性能不穩(wěn)定,而采用EDA設(shè)計,卻擁有電子系統(tǒng)小型化、低功耗、高可 靠性、開發(fā)過程投資小、周期短等優(yōu)點,而且還可以通過軟件編程對硬件結(jié) 構(gòu)和工作方式進行重構(gòu),使得硬件設(shè)計如軟件設(shè)計那般方便快捷。本次設(shè)計 就是應(yīng)用EDA電子電路技術(shù)來設(shè)計電梯控制器,從而使用一片芯片就可以實 現(xiàn)對電梯的控制的。5.2課程設(shè)計的意義電梯作為垂直方向的交通工具,在高層建筑和公共場所已經(jīng)成為重要的 建筑設(shè)備而不可或缺。電梯產(chǎn)業(yè)的前景和走勢隨著社會的需求而悄然發(fā)生著 改變,除了考慮安全、舒適、豪華裝修等要求外,市場對新一代的綠色電梯、 節(jié)能電梯和智能電梯的需求越來越旺盛。國內(nèi)

36、外電梯企業(yè)順應(yīng)市場需要,加 大研發(fā)投入,都準備在未來新概念電梯產(chǎn)業(yè)發(fā)展中占得先機。5.2.1中國電梯的現(xiàn)狀近年來,隨著中國房地產(chǎn)業(yè)的快速發(fā)展,與之配套的電梯生產(chǎn)制造業(yè)也 經(jīng)歷了迅猛發(fā)展的階段,電梯產(chǎn)量保持了每年20%以上的增長速度。我國電 梯的出口年均增長率將保持在35%以上,電梯行業(yè)逐步成為國內(nèi)比較重要的 行業(yè)。隨著我國經(jīng)濟持續(xù)增長、城鎮(zhèn)化建設(shè)的加速和房地產(chǎn)行業(yè)的進一步發(fā)展, 對電梯的需求越來越大。估計未來50年中國新增住房面積將達到200億平方 米。國家規(guī)定20米以上高樓就應(yīng)安裝電梯,因此未來電梯最大的市場就是住 宅市場。此外,機場、商場、地鐵等大型公共設(shè)施建設(shè)對自動扶梯、觀光電 梯等電梯

37、的需求量也十分可觀。電梯作為終端消費品,品牌在市場競爭中的作用非常明顯。品牌往往成 為人們在選擇電梯產(chǎn)品時的重要考慮因素,電梯生產(chǎn)要想建立良好的品牌并 獲得市場的認可,也必須經(jīng)過市場一定時間的不斷考驗。面對外資巨頭的貼 身進逼,我國電梯品牌在服務(wù)和營銷上難以匹敵,其生存狀況不容樂觀。所 以大力發(fā)展電梯控制器技術(shù)對我國電梯行業(yè)的發(fā)展至關(guān)重要。5.2.2電梯的節(jié)能和環(huán)?!熬G色”已成為21世紀的主流色調(diào),一個全球性的綠色市場為企業(yè)的發(fā)展 提供了廣闊的空間,當(dāng)今社會誰先推出綠色產(chǎn)品,搶占綠色營銷市場,誰就 能掌握競爭的主動權(quán)。老式電梯噪音、占用空間、耗能等形成的危害積累起來是相當(dāng)大的,因 此,在城市環(huán)

38、保社區(qū)建設(shè)中,如何盡量減少電梯對環(huán)境的危害是相當(dāng)重要的 課題之一。目前,我國市場每年銷售各種類型的電梯達4.5萬部,如果這些 電梯全部實現(xiàn)環(huán)?;洵h(huán)境效益是難以估量的。5.2.3電梯的智能化隨著城市化的高速發(fā)展,越來越多的摩天大樓拔地而起。就摩天大樓的 高度而言,不僅受建筑技術(shù)上的制約,而且還有電梯升高方面的困惑,因而, 在摩天大樓日益完備智能化的趨勢中,電梯的智能化也不容忽視。它不僅是 人們上上下下的代步工具,同時,也是摩天大樓智能化的一個重要標志。盡 管電梯在摩天大樓中只是一個細節(jié),但電梯智能化程度的高低卻決定著它服 務(wù)質(zhì)量的優(yōu)劣。因而,電梯的智能化在一定程度上反映出智能大廈的智能程 度

39、。計算機技術(shù),通訊技術(shù)與控制技術(shù)的發(fā)展使大廈的智能化成為現(xiàn)實,而 電梯是智能建筑中的重要交通工具,其技術(shù)發(fā)展及智能化程度也倍受世人關(guān) 注。智能化的電梯要與智能大廈中所有自動化系統(tǒng)聯(lián)網(wǎng),如與樓宇控制系統(tǒng)、 消防系統(tǒng)、保安監(jiān)控系統(tǒng)等交互聯(lián)系,使電梯成為高效優(yōu)質(zhì)、安全舒適的服 務(wù)工具。6總結(jié)在這一周的設(shè)計過程中,除找資料外,大多時間都是在電腦上進行的, 通過反復(fù)的編譯,仿真,不斷試驗來實現(xiàn)所得結(jié)果。在設(shè)計上,運用了 EDA 自上而下的設(shè)計思想,逐步完善所設(shè)計功能,同時,用到V HDL語言中的狀 態(tài)機,多進程,CASE、IF.ELSE等語句,才達到以上結(jié)果。在設(shè)計中也 遇到許多困難,在自己及同學(xué)的共同

40、努力下才完成此設(shè)計。因此這次課設(shè)給 我?guī)淼氖斋@主要有:進一步熟悉Quartus II軟件的使用和操作方法,以及硬件實現(xiàn)時的下載 方法與運行方法;對vhdl語言的自頂向下設(shè)計方法有了進一步的認識,對其 中的許多語句也有了新了解,掌握;對自己獨立思考和解決問題的能力也有 了很大的鍛煉,同時同學(xué)間的互幫互助精神也是在課程設(shè)計中很好體現(xiàn)的。自己做的三層電梯控制器的運行情況都能正確的實現(xiàn),更好的理解了電 梯控制器的工作方式。人們生活中的每個地方都需要技術(shù)的支持,電梯的發(fā)展極大地提高了人 們的生活水平,使社會向著繁榮的方向發(fā)展!參考文獻1 潘松黃繼業(yè).EDA技術(shù)實用教程.北京:科學(xué)出版社,2005.2

41、徐志軍徐光輝.CPLD/FPGA的開發(fā)與應(yīng)用.北京:電子工業(yè)出版社,2001.3 蘇長贊.電梯設(shè)計與應(yīng)用.北京:人民郵電出版社,2008.4 樓然苗.CPLD設(shè)計指導(dǎo).北京:北京航空航天大學(xué)出版社,2007.彭為.數(shù)字系統(tǒng)設(shè)計北京:電子工業(yè)出版社,2006.戴佳.VHDL程序設(shè)計實例精講.北京:電子工業(yè)出版社,2007.7李華.EDA實用接口技術(shù).北京:北京航空航天大學(xué)出版社2003.附錄LIBRARY IEEE;庫的說明USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;-程序包的說明USE IEEE.STD_LOGIC_A

42、RITH.ALL;ENTITY THREELIFT IS-實體PORT(BUTTONCLK:IN STD_LOGIC;-按鍵時鐘信號LIFTCLK:IN STD_LOGIC;-電梯時鐘信號RESET:IN STD_LOGIC;-異步復(fù)位端口F1UPBUTTON:IN STD_LOGIC;-一層上升請求端口F2UPBUTTON:IN STD_LOGIC;-一層上升請求端口F2DNBUTTON:IN STD_LOGIC;-一層下降請求端口F3DNBUTTON:IN STD_LOGIC;-二層下降請求端口STOP1BUTTON:IN STD_LOGIC;-一層停站請求端口STOP2BUTTON:IN

43、 STD_LOGIC;-一層停站請求端口STOP3BUTTON:IN STD_LOGIC;-二層停站請求端口P0SITI0N:BUFFER INTEGER RANGE 1 TO 3;-電梯位置信號UDSIG:BUFFER STD_LOGIC;-電梯模式(上升或下降)信號FUPLIGHT,FDNLIGHT,STOPLIGHT:BUFFER STD_LOGIC_VECTOR(3DOWNTO 1);-上升、下降、停站請求寄存信號DOORLIGHT:OUT STD_LOGIC;-開關(guān)門信號DOUT1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END ENTITY THREELI

44、FT;ARCHITECTURE ONE OF THREELIFT IS-結(jié)構(gòu)體TYPE LIFT_STATE IS-定義十個狀態(tài)(STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DOORWAIT3,DOORWAIT4,UP,DOWN,STOP);SIGNAL MYLIFT:LIFT_STATE;SIGNAL CLEARUP:STD_LOGIC;號-上升和停站請求清除信SIGNAL CLEARDN:STD_LOGIC;-下降和停站請求清除信號BEGINCTRLIFT:PROCESS(RESET,LIFTCLK)狀態(tài)機進程VARIABLE POS:IN

45、TEGER RANGE 3 DOWNTO 1;BEGINIF RESET=T THEN-異步復(fù)位,電梯的初始狀態(tài)為一層開門狀態(tài)MYLIFTv=STOPONl;CLEARUPv=O;CLEARDNv=O;ELSEIF LIFTCLKEVENT AND LIFTCLK=T THENCASE MYLIFT ISWHEN STOPON1=DOORLIGHTv=T;POSITION=1;POS:=1;MYLIFTMYLIFTCLEARUP=0;CLEARDN=0;MYLIFTMYLIFTMYLIFT方式DOORLIGHT=0;IF UDSIG=T THENIF POSITION=3 THENIF FUP

46、LIGHT=(-電梯等待4S-關(guān)門,判定電梯下一個運行-電梯處在上升模式AND FDNLIGHT=000 ANDSTOPLIGHT=000 THEN沒有請求信號時,電梯停在當(dāng)前層UDSIGv=O;MYLIFTv=DOORCLOSE;ELSIF FDNLIGHT(3)=T OR STOPLIGHT(3)=T THEN-本層有請求信號是,電梯開門UDSIG=0;MYLIFTv=DOOROPEN;ELSE否則下降UDSIG=0;MYLIFTv=DOWN;END IF;ELSIF POSITION=2 THENIF FUPLIGHT=000 AND FDNLIGHT=000 ANDSTOPLIGHT=

47、000 THENUDSIGv=T;MYLIFTv=DOORCLOSE;ELSIF FUPLIGHT(2)=T OR STOPLIGHT(2)=T THEN-本層有上升或停站請求時時,電梯開門UDSIGv=T;MYLIFTv=DOOROPEN;ELSIF FUPLIGHT=000 AND STOPLIGHT=000 AND FDNLIGHT=010 THEN只有二層有下降請求時,電梯開門UDSIG=0;MYLIFTv=DOOROPEN;ELSIF STOPLIGHT(3)=T OR FDNLIGHT(3)=T THEN-三層有停站請求或下降請求,則上升UDSIGv=T;MYLIFT=UP;ELS

48、EUDSIG=0;MYLIFT=DOWN;END IF;ELSIF POSITIONS THENIF FUPLIGHT=OOO AND FDNLIGHT=OOOSTOPLIGHT=000 THEN UDSIGv=T; MYLIFTv=DOORCLOSE;ELSIF STOPLIGHT(1)=T OR FUPLIGHT(1)=T THENUDSIGv=T;MYLIFTv=DOOROPEN;ELSEUDSIGv=T;MYLIFT=UP;END IF;END IF;ELSIF UDSIG=0 THEN電梯處在下降模式IF POSITION=3 THENIF FUPLIGHT=000 AND FDNL

49、IGHT=000STOPLIGHT=000 THENUDSIG=0;MYLIFTv=DOORCLOSE;ELSIF FDNLIGHT(3)=T OR STOPLIGHT(3)=T THENUDSIG=0;MYLIFTv=DOOROPEN;ELSEUDSIG=0;MYLIFTv=DOWN;END IF;ELSIF POSITION=2 THENIF FUPLIGHT=000 AND FDNLIGHT=000STOPLIGHT=000 THENUDSIG=0;MYLIFTv=DOORCLOSE;ELSIF FDNLIGHT(2)=T OR STOPLIGHT(2)=T THENUDSIG=0;MY

50、LIFTv=DOOROPEN;ELSIF FDNLIGHT=000 AND STOPLIGHT=000ANDANDANDANDFUPLIGHT=010 THENUDSIGv=T;MYLIFTv=DOOROPEN;ELSIF FUPLIGHT(1)=T OR STOPLIGHT(1)=T THEN-一層有停站請求或上升請求,則下降UDSIG=0;MYLIFTv=DOWN;ELSEUDSIGv=T;MYLIFT=UP;END IF;ELSIF POSITIONS THENIF FUPLIGHT=000 AND FDNLIGHT=000 ANDSTOPLIGHT=000 THENUDSIGv=T;M

51、YLIFT=DOORCLOSE;ELSIF STOPLIGHT(1)=T OR FUPLIGHT(1)=T THENUDSIGv=T;MYLIFT=DOOROPEN;ELSEUDSIGv=T;MYLIFT-電梯處于上升狀態(tài)POSITION=POSITION+1;電梯樓層數(shù)加一POS:=POS+1;IF POS3 AND (STOPLIGHT(POS)=T OR FUPLIGHT(POS)=T) THENMYLIFT=STOP;-電梯在一層或二層,本層有停站或上升請求時,則停止ELSIF POS=3 AND (STOPLIGHT(POS)=T OR FDNLIGHT(POS)=T)THENMYLIFT電梯處在下降狀態(tài)POSmONv=POSITION-l;電梯樓層數(shù)減一POS:=POS-1;IF POS1 AND (STOPLIGHT(POS)=T AN

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!