九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

微機(jī)原理 實(shí)驗(yàn)報(bào)告

上傳人:jun****875 文檔編號(hào):17754613 上傳時(shí)間:2020-12-05 格式:DOC 頁數(shù):15 大小:87.91KB
收藏 版權(quán)申訴 舉報(bào) 下載
微機(jī)原理 實(shí)驗(yàn)報(bào)告_第1頁
第1頁 / 共15頁
微機(jī)原理 實(shí)驗(yàn)報(bào)告_第2頁
第2頁 / 共15頁
微機(jī)原理 實(shí)驗(yàn)報(bào)告_第3頁
第3頁 / 共15頁

下載文檔到電腦,查找使用更方便

9.9 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《微機(jī)原理 實(shí)驗(yàn)報(bào)告》由會(huì)員分享,可在線閱讀,更多相關(guān)《微機(jī)原理 實(shí)驗(yàn)報(bào)告(15頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、微機(jī)原理與接口技術(shù)實(shí)驗(yàn)指導(dǎo)書班級(jí) 學(xué)號(hào) 099074 姓名 安徽工業(yè)大學(xué)計(jì)算機(jī)學(xué)院實(shí)驗(yàn)一 存貯器讀寫實(shí)驗(yàn)一、實(shí)驗(yàn)內(nèi)容 對(duì)指定地址區(qū)間的RAM(4000H4FFH)先進(jìn)行寫數(shù)據(jù)55AAH,然后將其內(nèi)容讀出再寫到5000H5FFH中。二、實(shí)驗(yàn)步驟 l、將實(shí)驗(yàn)系統(tǒng)與PC機(jī)連接;2、在PC機(jī)上啟功DJ-8086k軟件,實(shí)驗(yàn)系統(tǒng)進(jìn)入聯(lián)機(jī)狀態(tài);3、在DJ-8086k軟件環(huán)境下編輯、調(diào)試程序,將程序調(diào)試、編譯通過;4、運(yùn)行程序。5、稍后按RST鍵退出,用存貯器讀方法檢查4000H43FFH中的內(nèi)容和500053FFH中的內(nèi)容應(yīng)都是55AA。三、實(shí)驗(yàn)程序清單CODE SEGMENT ;RAM.ASMASSUM

2、E CS:CODEPA EQU 0FF20H ;字位口PB EQU 0FF21H ;字形口PC EQU 0FF22H ;鍵入口ORG 1850hSTART: JMP START0BUF DB ?,?,?,?,?,?data1: db0c0h,0f9h,0a4h,0b0h,99h,92h,82h,0f8h,80h,90h,88h,83h,0c6h,0a1h db 86h,8eh,0ffh,0ch,89h,0deh,0c7h,8ch,0f3h,0bfh,8FHSTART0: MOV AX,0H MOV DS,AX MOV BX,4000H MOV AX,55AAH MOV CX,0200HRAMW

3、1: MOV DS:BX,AX ADD BX,0002H LOOP RAMW1 MOV AX,4000H MOV SI,AX MOV AX,5000H MOV DI,AX MOV CX,0400H CLD REP MOVSB call buf1 mov cx,0ffhcon1: push cx call disp pop cx loop con1 call buf2con2: call disp jmp con2DISP: MOV AL,0FFH ;00H MOV DX,PA OUT DX,AL MOV CL,0DFH ;20H ;顯示子程序 ,5ms MOV BX,OFFSET BUFDIS

4、1: MOV AL,BX MOV AH,00H PUSH BX MOV BX,OFFSET DATA1 ADD BX,AX MOV AL,BX POP BX MOV DX,PB OUT DX,AL MOV AL,CL MOV DX,PA OUT DX,AL PUSH CXDIS2: MOV CX,00A0H LOOP $ POP CXCMP CL,0FEH ;01HJZ LX1INC BXROR CL,1 ;SHR CL,1JMP DIS1LX1: MOV AL,0FFHMOV DX,PBOUT DX,ALRETBUF1: MOV BUF,06H MOV BUF+1,02H MOV BUF+2

5、,02H MOV BUF+3,05H MOV BUF+4,06H MOV BUF+5,17H RETBUF2: MOV BUF,17H MOV BUF+1,17H MOV BUF+2,09H MOV BUF+3,00H MOV BUF+4,00H MOV BUF+5,0dH RETCODE ENDSEND START四、實(shí)驗(yàn)總結(jié) 通過該實(shí)驗(yàn)讓我們了解了如何對(duì)存儲(chǔ)器進(jìn)行讀寫,寫入存儲(chǔ)器后,如何通過機(jī)器檢查存儲(chǔ)單元內(nèi)的內(nèi)容,通過該實(shí)驗(yàn)讓我對(duì)計(jì)算機(jī)的存儲(chǔ)器有了更進(jìn)一步的認(rèn)識(shí)。實(shí)驗(yàn)二 8259單級(jí)中斷控制器實(shí)驗(yàn)一、實(shí)驗(yàn)內(nèi)容 利用8259實(shí)現(xiàn)對(duì)外部中斷的響應(yīng)和處理,要求程序?qū)γ看沃袛噙M(jìn)行計(jì)數(shù),并將計(jì)數(shù)結(jié)

6、果送數(shù)碼顯示。二、實(shí)驗(yàn)步驟 1、按實(shí)驗(yàn)原理圖連好實(shí)驗(yàn)線路圖。8259的INT連8088的INTR;8259的INTA連8088的INTA;“ ”插孔和8259的3號(hào)中斷IR7插孔相連,“ ”端初始為低電平;8259的CS端接FF80H孔。2、輸入并運(yùn)行實(shí)驗(yàn)程序,系統(tǒng)顯示82591。 3、按動(dòng)AN開關(guān)按鈕, 按滿5次顯示good。三、程序清單CODE SEGMENT ;ASSUME CS:CODEINTPORT1 EQU 0FF80HINTPORT2 EQU 0FF81HINTQ3 EQU INTREEUP3INTQ7 EQU INTREEUP7PA EQU 0FF20H ;字位口PB EQU

7、0FF21H ;字形口PC EQU 0FF22H ;鍵入口ORG 12D0HSTART: JMP START0BUF DB ?,?,?,?,?,?intcnt db ?data1: db0c0h,0f9h,0a4h,0b0h,99h,92h,82h,0f8h,80h,90h,88h,83h,0c6h,0a1hdb 86h,8eh,0ffh,0ch,89h,0deh,0c7h,8ch,0f3h,0bfh,8FHSTART0:CLDCALL BUF1CALL WRINTVER ;WRITE INTRRUPTMOV AL,13HMOV DX,INTPORT1OUT DX,ALMOV AL,08HMO

8、V DX,INTPORT2OUT DX,ALMOV AL,09HOUT DX,ALMOV AL,0DFHOUT DX,ALMOV intcnt,01H;TIME=1STIWATING: CALL DISP ;DISP 8259-1JMP WATINGWRINTVER:MOV AX,0H MOV ES,AX MOV DI,0034H LEA AX,INTQ3 STOSW MOV AX,0000h STOSW MOV DI,003CH LEA AX,INTQ7STOSW MOV AX,0000h STOSW RETINTREEUP3:CLI push ax push bx push cx push

9、 dx MOV AL,INTCNT CALL CONVERS MOV BX,OFFSET BUF ;077BH MOV AL,10H MOV CX,05HINTRE0: MOV BX,AL INC BX LOOP INTRE0 MOV AL,20H MOV DX,INTPORT1 OUT DX,AL ADD INTCNT,01H CMP INTCNT,06H JNA INTRE2 CALL BUF2 ;DISP:goodINTRE1: CALL DISP JMP INTRE1CONVERS: AND AL,0FH MOV BX,offset buf ;077AH MOV BX+5,AL RET

10、INTRE2: MOV AL,20H MOV DX,INTPORT1 OUT DX,AL pop dx pop cx pop bx pop ax STI IRETINTREEUP7:CLI MOV AL,20H MOV DX,INTPORT1 OUT DX,AL call buf3 ;disp:errINTRE3: CALL DISP JMP INTRE3DISP: MOV AL,0FFH ;00HMOV DX,PAOUT DX,ALMOV CL,0DFH ;20H ;顯示子程序 ,5msMOV BX,OFFSET BUFDIS1: MOV AL,BX MOV AH,00H PUSH BX M

11、OV BX,OFFSET DATA1 ADD BX,AX MOV AL,BXPOP BXMOV DX,PBOUT DX,ALMOV AL,CLMOV DX,PAOUT DX,ALPUSH CXDIS2:MOV CX,00A0H LOOP $ POP CXCMP CL,0FEH ;01HJZ LX1INC BXROR CL,1 ;SHR CL,1JMP DIS1LX1: MOV AL,0FFHMOV DX,PBOUT DX,ALRETCODE ENDSEND START四、實(shí)驗(yàn)小結(jié) 通過8259單級(jí)中斷控制器實(shí)驗(yàn),讓我對(duì)8259中斷控制器的接口方法和8259中斷控制器的應(yīng)用編程有了一定的了解。實(shí)

12、驗(yàn)三 8255A并行口實(shí)驗(yàn)一、實(shí)驗(yàn)內(nèi)容 用8255做輸出口,控制十二個(gè)發(fā)光管亮滅,模擬交通燈管理。二、實(shí)驗(yàn)步驟 在系統(tǒng)顯示監(jiān)控提示符“P.”時(shí),按SCAL鍵。按實(shí)驗(yàn)原理圖連好實(shí)驗(yàn)線路8255A:PB4-PB7對(duì)應(yīng)紅燈, PC0-PC3對(duì)應(yīng)綠燈, PC4-PC7對(duì)應(yīng)黃燈在“P.”態(tài)時(shí),輸入11E0后,按EXEC鍵;系統(tǒng)顯示執(zhí)行符“”,同時(shí)Ll-Ll5發(fā)光二極管模擬交通燈顯示。三、實(shí)驗(yàn)程序清單CODE SEGMENT ;H8255-2.ASMASSUME CS:CODEIOCONPT EQU 0FF2BHIOAPT EQU 0FF28HIOBPT EQU 0FF29HIOCPT EQU 0FF2A

13、HORG 11e0HSTART:MOV AL,82HMOV DX,IOCONPTOUT DX,ALMOV DX,IOBPTIN AL,DXMOV BYTE PTR DS:0601H,ALMOV DX,IOCONPTMOV AL,80HOUT DX,ALMOV DX,IOBPTMOV AL,DS:0601HOR AL,0F0HOUT DX,ALMOV DX,IOCPTMOV AL,0F0HOUT DX,ALCALL DELAY1IOLED0: MOV AL,10100101BMOV DX,IOCPTOUT DX,ALCALL DELAY1CALL DELAY1OR AL,0F0HOUT DX,A

14、LMOV CX,8HIOLED1: MOV DX,IOBPTMOV AL,DS:0601HAND AL,10101111BOUT DX,ALCALL DELAY2OR AL,01010000BOUT DX,ALCALL DELAY2LOOP IOLED1MOV DX,IOCPTMOV AL,0F0HOUT DX,ALCALL DELAY2MOV AL,01011010BOUT DX,ALCALL DELAY1CALL DELAY1OR AL,0F0HOUT DX,ALMOV CX,8HIOLED2: MOV DX,IOBPTMOV AL,DS:0601HAND AL,01011111BOUT

15、DX,ALCALL DELAY2OR AL,10100000BOUT DX,ALCALL DELAY2LOOP IOLED2MOV DX,IOCPTMOV AL,0F0HOUT DX,ALCALL DELAY2JMP IOLED0DELAY1: PUSH AXPUSH CXMOV CX,0030HDELY2: CALL DELAY2LOOP DELY2POP CXPOP AXRETDELAY2: PUSH CXMOV CX,8000HDELA1: LOOP DELA1POP CXRETCODE ENDSEND START四、實(shí)驗(yàn)小結(jié) 通過該實(shí)驗(yàn),讓我掌握了如何通過8255控制發(fā)光二級(jí)管,以及發(fā)

16、光二級(jí)管的工作原理。實(shí)驗(yàn)四 8250串口實(shí)驗(yàn)一、實(shí)驗(yàn)內(nèi)容 將寄存器AH的內(nèi)容從10H開始通過8250發(fā)送、接收,每次收發(fā)后AH的內(nèi)容自動(dòng)增1直到FFH為止,同時(shí)將每次接收到的數(shù)據(jù),依次寫到內(nèi)存4000H40EFH單元中,實(shí)現(xiàn)自發(fā)自收。二、實(shí)驗(yàn)步驟 1.用扁平線連JX0(BUS)到JX3(D0D7),連FF80H孔到CS7,連TXD到RXD(8250實(shí)驗(yàn)區(qū))。2.編輯、調(diào)試、運(yùn)行程序。3.當(dāng)系統(tǒng)顯示“8250good”表示自發(fā)自收結(jié)束,按RST鍵,系統(tǒng)返回P態(tài),用內(nèi)存讀寫命令檢查4000H40EFH內(nèi)容是否為10HFFH,驗(yàn)證其正確性。三、實(shí)驗(yàn)程序清單 CODE SEGMENT ;H8250.A

17、SMASSUME CS:CODE ;H8250.ASMDATAEQU0ff80H;BTS-LSBMSBEQU0ff81HLINEEQU 0ff83HLSTATEQU0ff85HPA EQU 0FF20H ;字位口PB EQU 0FF21H ;字形口PC EQU 0FF22H ;鍵入口ORG 29A0HSTART: JMP START0BUF DB ?,?,?,?,?,?data1:db 0c0h,0f9h,0a4h,0b0h,99h,92h,82h,0f8h,80h,90h,88h,83h,0c6h,0a1h db 86h,8eh,0ffh,0ch,89h,0deh,0c7h,8ch,0f3h

18、,0bfh,8FHSTART0: MOV AL,80H;DLAB=1MOV DX,LINEOUT DX,ALMOV AL,0cH;BTS=9600MOV DX,DATA ;ff80HOUT DX,ALMOV DX,MSBMOV AL,00OUT DX,AL;-MOV AL,06H7- BIT ,2-STOPMOV DX,LINEOUT DX,AL;-MOV AL,00;NO-INTMOV DX,MSB ;8001HOUT DX,ALMOV AH,10HMOV BX,4000HMAIN:CALL TXDCALL RCVMOV BX,ALINC BXINC AHCMP AH,00HJNZ MAIN

19、CALL BUF1MOV CX,00FFHS3: PUSH CXCALL DISPPOP CXLOOP S3CALL BUF3S1: CALL DISP JMP S1TXD: MOV DX,LSTATWAIT1:IN AL,DXTEST AL,20HJZ WAIT1MOV AL,AHMOV DX,DATAOUT DX,ALRETRCV: MOV DX,LSTATWAIT2: IN AL,DXTEST AL,01HJZ WAIT2TEST AL,0EHJNZ ERRMOV DX,DATAIN AL,DXRETERR: CALL BUF2S2: CALL DISP JMP S2;-DISP: MO

20、V AL,0FFH ;00H MOV DX,PA OUT DX,AL MOV CL,0DFH ;20H ;顯示子程序 ,5ms MOV BX,OFFSET BUFDIS1: MOV AL,BX MOV AH,00H PUSH BX MOV BX,OFFSET DATA1 ADD BX,AX MOV AL,BXPOP BXMOV DX,PBOUT DX,ALMOV AL,CLMOV DX,PAOUT DX,AL PUSH CXDIS2: MOV CX,00A0HDELAY: LOOP DELAY POP CX CMP CL,0FEH ;01H JZ LX1 INC BX ROR CL,1 ;SH

21、R CL,1 JMP DIS1LX1: MOV AL,0FFH MOV DX,PB OUT DX,AL RETCODE ENDSEND START四、實(shí)驗(yàn)小結(jié) 通過該實(shí)驗(yàn)讓我對(duì)系統(tǒng)擴(kuò)展以8250為核心的可編程串行異步通訊接口芯片有了一定了了解,實(shí)現(xiàn)了對(duì)擴(kuò)展的串行通訊接口實(shí)現(xiàn)自發(fā)自收。該實(shí)驗(yàn)讓我對(duì)8250有了更深一步的認(rèn)識(shí)。實(shí)驗(yàn)五 A/D轉(zhuǎn)換實(shí)驗(yàn)一、實(shí)驗(yàn)內(nèi)容利用實(shí)驗(yàn)系統(tǒng)上電位器提供的可調(diào)電壓作為0809模擬信號(hào)的輸入,編制程序,將模擬量轉(zhuǎn)換為數(shù)字量,通過數(shù)碼管顯示出來。二、實(shí)驗(yàn)步驟 將0809 CS4插孔連到譯碼輸出FF80H插孔。 將通道0模擬量輸入端IN0連電位器W1的中心插頭AOUT1(0

22、5V)插孔,8MHZT。 運(yùn)行實(shí)驗(yàn)程序,系統(tǒng)上顯示“0809 XX”。“XX”表示輸入模擬量轉(zhuǎn)換后的數(shù)字量。 調(diào)節(jié)電位器Wl, 顯示器上會(huì)不斷顯示新的轉(zhuǎn)換結(jié)果。模擬量和數(shù)字量對(duì)應(yīng)關(guān)系的典型值為:0V00H+2.5V80H+5VFFH 按RST鍵退出。三、實(shí)驗(yàn)程序清單CODE SEGMENT ;ASSUME CS:CODEADPORT EQU 0FF80hPA EQU 0FF20H ;字位口PB EQU 0FF21H ;字形口PC EQU 0FF22H ;鍵入口 ORG 1000HSTART: JMP START0BUF DB ?,?,?,?,?,?data1: db 0c0h,0f9h,0a4

23、h,0b0h,99h,92h,82h,0f8h,80h,90h,88h,83h,0c6h,0a1h db 86h,8eh,0ffh,0ch,89h,0deh,0c7h,8ch,0f3h,0bfh,8FHSTART0: CALL BUF1ADCON: MOV AX,00 MOV DX,ADPORT OUT DX,AL MOV CX,0500H;DELAY: LOOP DELAY MOV DX,ADPORT IN AL,DX CALL CONVERS CALL DISP JMP ADCONCONVERS: MOV AH,AL AND AL,0FH MOV BX,OFFSET BUF MOV BX+

24、5,AL MOV AL,AH AND AL,0F0H MOV CL,04H SHR AL,CL MOV BX+4,AL RETDISP: MOV AL,0FFH MOV DX,PAOUT DX,ALMOV CL,0DFH MOV BX,OFFSET BUFDIS1: MOV AL,BX MOV AH,00HPUSH BXMOV BX,OFFSET DATA1 ADD BX,AX MOV AL,BXPOP BXMOV DX,PBOUT DX,ALMOV AL,CLMOV DX,PAOUT DX,ALPUSH CXDIS2: MOV CX,00A0H LOOP $ POP CXCMP CL,0FEH JZ LX1INC BXROR CL,1 JMP DIS1LX1: MOV AL,0FFHMOV DX,PBOUT DX,ALRETBUF1: MOV BUF,00H MOV BUF+1,08H MOV BUF+2,00H MOV BUF+3,09H MOV BUF+4,00H MOV BUF+5,00H RETCODE ENDSEND START

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!