九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

《微型計算機原理與接口技術(shù)》第1章微型計算機基礎(chǔ)概論

上傳人:san****019 文檔編號:22716455 上傳時間:2021-05-30 格式:PPT 頁數(shù):83 大?。?10KB
收藏 版權(quán)申訴 舉報 下載
《微型計算機原理與接口技術(shù)》第1章微型計算機基礎(chǔ)概論_第1頁
第1頁 / 共83頁
《微型計算機原理與接口技術(shù)》第1章微型計算機基礎(chǔ)概論_第2頁
第2頁 / 共83頁
《微型計算機原理與接口技術(shù)》第1章微型計算機基礎(chǔ)概論_第3頁
第3頁 / 共83頁

下載文檔到電腦,查找使用更方便

14.9 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《《微型計算機原理與接口技術(shù)》第1章微型計算機基礎(chǔ)概論》由會員分享,可在線閱讀,更多相關(guān)《《微型計算機原理與接口技術(shù)》第1章微型計算機基礎(chǔ)概論(83頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、微型計算機原理與接口技術(shù)課程性質(zhì):專業(yè)基礎(chǔ)課學(xué)時:60+12(上課60+4個實驗)學(xué)分:5學(xué)分考核方式:考試 第 1章 微 型 計 算 機 基 礎(chǔ) 概 論 1.1 微 型 計 算 機 系 統(tǒng) 1.2 計 算 機 中 的 數(shù) 制 及 編 碼 1.3 無 符 號 二 進 制 數(shù) 的 算 術(shù) 運 算 和 邏 輯 運 算 1.4 有 符 號 二 進 制 數(shù) 的 表 示 及 運 算 第 1章 微 型 計 算 機 基 礎(chǔ) 概 論主 要 內(nèi) 容 :l微 機 系 統(tǒng) ( 發(fā) 展 、 組 成 、 各 部 分 功 能 等 ) ;l各 種 常 用 記 數(shù) 制 和 編 碼 以 及 它 們 相 互 間 的 轉(zhuǎn) 換 ;l

2、二 進 制 數(shù) 的 算 術(shù) 運 算 和 邏 輯 運 算 ;l符 號 數(shù) 的 表 示 及 補 碼 運 算 ;l二 進 制 數(shù) 運 算 中 的 溢 出 問 題 1.1 微 型 計 算 機 系 統(tǒng)l 電 子 計 算 機 的 發(fā) 展 :l 電 子 管 計 算 機 ( 1946-1956)l 晶 體 管 計 算 機 ( 1957-1964)l 中 小 規(guī) 模 集 成 電 路 計 算 機 ( 1965-1970)l 超 大 規(guī) 模 集 成 電 路 計 算 機 ( 1971-今 )l 電 子 計 算 機 按 其 性 能 分 類 :l 大 中 型 計 算 機 /巨 型 計 算 機 ( Mainframe Co

3、mputer) l 小 型 計 算 機 ( Minicomputer)l 微 型 計 算 機 ( Microcomputer)l 單 片 計 算 機 ( Single-Chip Microcomputer)l 微 型 計 算 機 的 核 心 : 微 處 理 器 (中 央 處 理 器 CPU) Intel CPU的 發(fā) 展 大 致 可 分 為 :1、 第 一 代 微 處 理 器 世 界 上 的 第 一 片 微 處 理 器 是 Intel公 司 生 產(chǎn) 的 4004, 于 1971年研 制 成 功 , 是 一 個 4位 的 處 理 器 。 它 可 進 行 4位 二 進 制 的 并 行 運 算 ,擁

4、 有 45條 指 令 , 速 度 為 0.05MIPS。 它 主 要 用 于 計 算 器 , 電 動 打 字機 , 照 相 機 , 臺 稱 及 電 視 機 等 家 用 電 器 。 1971年 末 , Intel公 司 又 推 出 了 8位 擴 展 型 微 處 理 器 8008, 它 與4004相 比 , 可 一 次 處 理 8位 二 進 制 數(shù) 據(jù) , 其 尋 址 空 間 擴 大 為 16KB。特 點 : 指 令 系 統(tǒng) 比 較 簡 單 , 運 算 能 力 較 弱 , 速 度 也 比 較 低 。2、 第 二 代 8位 微 處 理 器 1973年 , Intel公 司 在 8008的 基 礎(chǔ) 上

5、 推 出 了 另 一 種 8位 微 處 理 器8080, 它 的 尋 址 空 間 增 加 到 64KB, 速 度 達 到 0.5MIPS, 比 8008快10倍 。 另 外 它 使 CPU外 部 電 路 的 設(shè) 計 變 得 更 加 容 易 且 成 材 降 低 。 3、 第 三 代 16位 微 處 理 器 由 于 超 大 規(guī) 模 集 成 電 路 的 發(fā) 展 , 1978年 , Intel公 司 推 出 了 16位微 處 理 器 8086, 并 在 一 年 多 又 推 出 了 準(zhǔn) 16位 微 處 理 器 8088。 16位 微 處 理 器 與 8位 微 處 理 器 功 能 大 大 增 強 , 主

6、要 表 現(xiàn) 在 以 下 : 數(shù) 據(jù) 總 線 的 位 數(shù) 由 8位 增 加 到 16位 , 提 高 了 數(shù) 據(jù) 處 理 能 力 。 地 址 總 線 的 位 數(shù) 增 加 到 20位 以 上 , 增 強 了 存 儲 器 的 尋 址 能 力 。 系 統(tǒng) 的 運 算 速 度 提 高 , 基 本 指 令 執(zhí) 行 時 間 約 0.15微 秒 。 增 加 了 4字 節(jié) 或 6字 節(jié) 指 令 高 速 緩 沖 器 , 使 指 令 的 存 取 的 執(zhí) 行并 行 進 行 , 處 理 速 度 快 。 CPU內(nèi) 部 的 通 用 寄 存 器 增 多 , 減 少 了 對 內(nèi) 存 的 訪 問 頻 度 。 擴 充 了 指 令 系

7、 統(tǒng) 。 可 處 理 多 種 數(shù) 據(jù) 類 型 。 有 二 進 制 , 壓 縮 BCD碼 , 字 節(jié) , 字 等 。 中 斷 功 能 增 強 具 有 構(gòu) 成 多 微 處 理 器 系 統(tǒng) 的 能 力 。 配 備 較 強 的 系 統(tǒng) 軟 件 。 4、 第 四 代 32位 微 處 理 器 1985年 , Intel公 司 推 出 了 第 四 代 微 處 理 器 80386, 它 具 有 32位數(shù) 據(jù) 線 和 32位 地 址 線 , 存 儲 器 直 接 尋 址 能 力 可 達 4GB。 其 執(zhí) 行 速 度達 到 34MIPS。 隨 著 集 成 電 路 工 藝 水 平 的 進 一 步 提 高 , 1989

8、年 , Intel公 司 又 推 出性 能 更 高 的 32位 微 處 理 器 80486。5、 第 五 代 32位 微 處 理 器 1993年 , Intel公 司 推 出 了 32位 微 處 理 器 Pentium(P5),它 集 成 了330萬 個 晶 體 管 , 內(nèi) 部 采 用 4級 起 標(biāo) 量 結(jié) 構(gòu) , 數(shù) 據(jù) 線 64位 ,地 址 線 36位 。 處 理 速 度 達 110MIPS。6、 第 六 代 微 處 理 器 1996年 , Intel公 司 又 推 出 了 Pentium Pro微 處 理 器 , 它 的 運 算 速 度 達 到 200MIPS, 它 內(nèi) 部 集 成 了

9、16KB的 一 級 高 速 緩 存 器 和 256的二 級 高 速 緩 存 器 , 使 用 三 個 執(zhí) 行 部 件 , 可 同 時 執(zhí) 行 三 條 指 令 。 7、 雙 核 微 處 理 器 從 20世 紀(jì) 90年 代 末 , 多 核 技 術(shù) 開 始 研 發(fā) 并 很 快得 到 發(fā) 展 和 普 及 。 2006年 , Intel公 司 推 出 了 個 人計 算 機 的 “ 雙 核 ” 處 理 器 Core2, 也 就 是 將 兩 個 功能 相 同 的 計 算 內(nèi) 核 集 成 在 一 個 處 理 器 中 , 使 處 理器 每 個 時 鐘 周 期 內(nèi) 執(zhí) 行 能 力 增 加 了 一 倍 , 從 而 提

10、高 了 計 算 能 力 。 代 發(fā) 表年 份 字 長(bits) 型 號 線 寬(m) 晶 體 管 數(shù)(萬 個 ) 時 鐘 頻 率(MHz) 速 度(MIPS)一 19711972 48 40048008 50 0.20.3 1 0.05二 1974 8 8080 20 0.5 2-4 0.5三 19781982 16 8086/808880286 2-3 2.913 4.77-108-20 300七 2002? 64 Itanium ? 0.08 CPU:2.5KCache:30K 800(20條 指 令 /時 鐘 周 期 ) 3000 Intel主 要 CPU發(fā) 展 表 1.1.2微 型

11、計 算 機 的 工 作 過 程1 .馮 諾 依 曼 的 計 算 機 計 算 機 的 工 作 過 程 就 是 執(zhí) 行 程 序 的 過 程 , 而 程 序 則是 指 令 的 集 合 。 每 臺 計 算 機 都 擁 有 各 種 類 型 的 機 器 指 令 ,這 些 指 令 按 照 一 定 的 規(guī) 則 存 放 在 存 儲 器 中 , 在 中 央 控 制系 統(tǒng) 的 統(tǒng) 一 控 制 下 , 按 一 定 順 序 依 次 取 出 執(zhí) 行 , 這 就 是馮 諾 依 曼 的 計 算 機 的 核 心 原 理 。 -存 儲 程 序 的 工作 原 理 。 存 儲 程 序 就 是 指 把 程 序 和 數(shù) 據(jù) 送 到 具

12、有 記 憶 功 能 的存 儲 器 中 保 存 起 來 , 計 算 機 工 作 時 只 要 給 程 序 中 第 一 條指 令 的 地 址 , 控 制 器 就 依 據(jù) 存 儲 器 中 的 指 令 順 序 地 , 周而 復(fù) 始 地 取 出 指 令 , 執(zhí) 行 指 令 , 直 到 執(zhí) 行 完 全 部 指 令 為止 。 馮 諾 依 曼 的 計 算 機 的 主 要 特 點 :1.將 計 算 過 程 描 述 為 由 許 多 條 指 令 按 一 定 順 序 組 成的 程 序 , 并 放 入 存 儲 器 保 存 ;2.程 序 中 的 指 令 和 數(shù) 據(jù) 必 須 采 用 二 進 制 編 碼 , 且 能夠 被 執(zhí)

13、行 該 程 序 的 計 算 機 所 識 別 ;3.指 令 按 其 在 存 儲 器 中 存 放 的 順 序 執(zhí) 被 行 , 存 儲 器的 字 長 固 定 并 按 順 序 線 性 編 址 ;4.由 控 制 器 控 制 整 個 程 序 和 數(shù) 據(jù) 的 存 取 以 及 程 序 的執(zhí) 行 ;5.以 運 算 器 為 核 心 , 所 有 的 執(zhí) 行 都 經(jīng) 過 運 算 器 。 馮 諾 依 曼 計 算 機 結(jié) 構(gòu)運 算 器輸 入 設(shè) 備 輸 出 設(shè) 備存 儲 器控 制 器 2 、 微 型 計 算 機 的 工 作 過 程 微 機 的 工 作 過 程 就 是 執(zhí) 行 程 序 的 過 程 。 由 于 每 條 指 令

14、 的 執(zhí) 行 ,都 包 括 指 令 取 和 執(zhí) 行 指 令 兩 個 基 本 階 段 , 所 以 , 微 機 的 工 作 過 程就 是 不 斷 取 指 令 和 執(zhí) 行 指 令 的 過 程 。 假 定 程 序 已 由 輸 入 設(shè) 備 存 放 到 內(nèi) 存 中 。 當(dāng) 計 算 機 要 從 停 機 狀 態(tài)進 入 到 運 行 狀 態(tài) 時 : 首 先 將 第 一 條 指 令 由 內(nèi) 存 中 取 出 ; 將 取 出 的 指 令 送 指 令 譯 碼 器 譯 碼 , 以 確 定 要 進 行 的 操 作 ; 讀 取 相 應(yīng) 的 操 作 數(shù) ; 執(zhí) 行 指 令 ; 存 放 執(zhí) 行 結(jié) 果 ; 一 條 指 令 執(zhí) 行

15、完 后 , 轉(zhuǎn) 入 下 一 條 的 取 指 令 階 段 。 如 此 周 而 復(fù) 始 地循 環(huán) , 直 到 程 序 中 遇 到 暫 停 指 令 才 結(jié) 束 。 結(jié) 束開 始程 序 指 令 1指 令 n指 令 2: 取 出 指 令指 令 譯 碼執(zhí) 行 操 作讀 出 操 作 數(shù)( 地 址 碼 )指 令 周 期 取指 執(zhí)行指令操 作 碼 操 作 數(shù)( 地 址 碼 )指 令 格 式程 序 執(zhí) 行 過 程 示 意 圖 取 第 一 條 指 令 的 過 程 為 : 指 令 所 在 的 地 址 賦 給 程 序 計 數(shù) 器 PC并 送 到 地 址 寄 存 器 PC自 動 加 1, AR( 地 址 寄 存 器 )

16、的 內(nèi) 容 不 變 。 把 地 址 寄 存 器 AR的 內(nèi) 容 放 在 地 址 總 線 上 , 并 送 至 內(nèi) 存儲 器 , 經(jīng) 地 址 譯 碼 器 , 選 中 相 應(yīng) 的 單 元 。 CPU的 控 制 器 發(fā) 出 讀 命 令 。 在 讀 命 令 的 控 制 下 , 把 選 中 的 單 元 內(nèi) 容 讀 到 數(shù) 據(jù) 總 線DB 把 讀 出 的 內(nèi) 容 經(jīng) 數(shù) 據(jù) 總 線 送 到 數(shù) 據(jù) 寄 存 器 DR 取 指 階 段 的 最 后 一 步 是 指 令 譯 碼 。 0000 00000000 0000 1011 0000 0000 01010000 01000000 100000 01000204

17、 1111 010003PCAR DRDBAB RA IDIRPLA10110001011000ALUA01I 2I+1 取 指控 制 執(zhí) 指控 制內(nèi) 存 儲 器 內(nèi) 存 中 的 指 令讀 取 一 條 指 令 操 作 的 過 程讀 1.1.3微 機 系 統(tǒng) 的 構(gòu) 成 CPU 存 儲 器 主 機 輸 入 /輸 出 接 口 硬 件 系 統(tǒng) 總 線 外 設(shè)微 機 系 統(tǒng) 系 統(tǒng) 軟 件 軟 件 系 統(tǒng) 應(yīng) 用 軟 件 寄 存 器 組控 制 器運 算 器 1. 主 機 硬 件 系 統(tǒng)1) 微 處 理 器 CPU 微 處 理 器 簡 稱 CPU, 是 計 算 機 的 核 心 , 主 要包 括 :運 算

18、 器 : 它 的 核 心 部 件 是 算 術(shù) 邏 輯 單 元 , 以 加 法 器 為主 , 輔 以 移 位 寄 存 器 及 相 應(yīng) 控 制 邏 輯 組 合 成 的 電 路 ,在 控 制 信 號 的 作 用 下 可 完 成 加 , 減 , 乘 , 除 和 各 種 邏輯 運 算 。 控 制 器 : 從 存 儲 器 中 依 次 取 出 程 序 的 各 條 指 令 , 并 根據(jù) 指 令 的 要 求 , 向 微 機 的 各 個 部 件 發(fā) 出 相 應(yīng) 的 控 制 信號 , 使 各 部 件 協(xié) 調(diào) 工 作 , 從 而 實 現(xiàn) 對 整 個 微 機 系 統(tǒng) 的控 制 。寄 存 器 組 : 實 際 是 CPU內(nèi)

19、 部 的 若 干 個 存 儲 單 元 , 它 分為 專 用 寄 存 器 和 通 用 寄 存 器 。 指 令 寄 存器 IR指 令 譯 碼ID操 作 控 制器 OC 通 用 寄 存器 寄 存 器堆 棧 指 針SP程 序 計 數(shù)器 PC寄存器組 累 加 器ACC累 加 鎖 存器 暫 存器 標(biāo) 志 寄 存器地 址 緩 沖 器 數(shù) 據(jù) 緩 沖 器控 制 總 線 ( CB)操 作 控制 信 號地 址 總 線 ( AB) 數(shù) 據(jù) 總 線 ( DB)存 儲 器 外 設(shè) 接 口 ( I/O)ALU控制器 運算器微 處 理 器 典 型 結(jié) 構(gòu) 圖 2) 存 儲 器 ( 內(nèi) 存 或 主 存 )定 義 : 用 于

20、存 放 計 算 機 工 作 過 程 中 需 要 操 作 的 數(shù) 據(jù) 和 程 序 ,內(nèi) 存 均 由 半 導(dǎo) 體 材 料 制 成 , 也 稱 半 導(dǎo) 體 存 儲 器 。 內(nèi) 存 單 元 的 地 址 和 內(nèi) 容 內(nèi) 存 由 許 多 單 元 組 成 , 每 個 單 元 可 存 放 一 組 二 進 制 碼 。每 個 內(nèi) 存 單 元 規(guī) 定 放 8位 二 進 制 數(shù) ( 一 個 字 節(jié) ) , 一 臺微 機 中 內(nèi) 存 單 元 的 總 數(shù) 為 該 微 機 的 內(nèi) 存 容 量 。內(nèi) 存 單 元 的 地 址 : 每 個 存 儲 單 元 編 上 不 同 的 號 碼 的 編 碼 。內(nèi) 存 單 元 的 內(nèi) 容 :

21、內(nèi) 存 單 元 中 存 放 的 信 息 。2024 內(nèi) 存 單 元 的 地 址 和 內(nèi) 容 每 個 單 元 都 對 應(yīng) 一 個 地 址 , 以 實 現(xiàn) 對 單 元內(nèi) 容 的 尋 址 。 1011011038F04H內(nèi)存地址單元內(nèi)容 內(nèi) 存 容 量 :內(nèi) 存 所 含 存 儲 單 元 的 個 數(shù) , 以 字 節(jié) (8位 二 進 制 數(shù) ) 為 單 位 。內(nèi) 存 容 量 的 大 小 依 CPU的 尋 址 范 圍 而 定 ( 即 CPU地 址 信 號線 的 位 數(shù) ) 。例 如 : 個 內(nèi) 存 單 元 , 它 的 內(nèi) 存 容 量 為 4MB。2024 內(nèi) 存 操 作 :讀 : 將 內(nèi) 存 單 元 的

22、內(nèi) 容 取 入 CPU, 原 單 元 內(nèi) 容 不 改 變 ;寫 : CPU將 信 息 放 入 內(nèi) 存 單 元 , 單 元 中 原 來 的 內(nèi) 容 被 覆 蓋 。 CPU讀 出 地 址 為 04H內(nèi) 存 單 元 中 的 內(nèi) 容 :地址譯碼器 1001011100000100 00H04HFFH地 址AB 控 制 DB10010111 :讀 CPU把 地 址 04H放 到 地 址 總 線 上 , 經(jīng) 地 址 譯 碼 器 選 中04H單 元 ; CPU發(fā) 出 “ 讀 ” 控 制 信 號 ; 存 儲 器 04H號 單 元 中 的 內(nèi) 容 97H被 讀 出 并 送 到 數(shù) 據(jù) 總線 上 。注 : 讀 操

23、 作 完 成 后 , 04H單 元 中 的 內(nèi) 容 97H仍 保持 不 變 。 這 一 特 點 稱 為 非 破 壞 性 讀 出 。 CPU把 數(shù) 據(jù) 00100110B寫 入 地 址 為 08H的 存 儲 單 元 中 :地址譯碼器 0010011000001000 00H08HFFH地 址AB 控 制 DB00100110 :寫 CPU把 存 儲 單 元 地 址 08H放 到 地 址 總 線 上 , 經(jīng) 地址 譯 碼 器 選 中 08H單 元 ; CPU把 要 寫 入 的 內(nèi) 容 26H放 到 數(shù) 據(jù) 總 線 上 ; CPU向 存 儲 器 發(fā) 送 “ 寫 ” 控 制 信 號 , 在 該 信 號

24、 的控 制 下 , 數(shù) 據(jù) 26H寫 入 存 儲 器 的 08H單 元 中 。注 : 寫 操 作 完 成 后 , 08H單 元 中 的 內(nèi) 容 由 新 內(nèi)容 26H代 替 了 原 來 內(nèi) 容 。 原 內(nèi) 容 被 清 除 。 內(nèi) 存 儲 器 的 分 類 隨 機 存 取 存 儲 器 ( RAM) 按 工 作 方 用 于 存 放 用 戶 裝 入 的 程 序 , 數(shù) 據(jù) 等 式 可 分 為 只 讀 存 儲 器 ( ROM) 用 于 存 放 監(jiān) 控 程 序 和 基 本 輸 入 輸 出 程 序 , 和 常 用 數(shù) 據(jù) , 表 格 等 3) 輸 入 /輸 出 接 口 接 口 是 CPU與 外 部 設(shè) 備 間

25、 的 橋 梁 , I/O系 統(tǒng)是 微 型 計 算 機 系 統(tǒng) 的 重 要 組 成 部 分 。 常 用 的 輸入 設(shè) 備 有 鍵 盤 , 鼠 標(biāo) , 掃 描 儀 等 。 常 用 的 輸 出設(shè) 備 有 顯 示 器 , 打 印 機 , 繪 圖 儀 等 。CPU I/O接 口 外 設(shè) 接 口 的 分 類 : 串 行 接 口 并 行 接 口 按 數(shù) 據(jù) 傳 送類 型 分按 數(shù) 據(jù) 傳 送方 向 分 輸 入 接 口 輸 出 接 口 并 行 接 口 的 應(yīng) 用 : 距 離 短 、 高 速( 高 速 打 印 機 、 網(wǎng) 絡(luò) 打 印 機 、 圖 象 掃 描 儀 、硬 盤 ) 串 行 接 口 的 應(yīng) 用 : 距

26、離 長 、 慢 速 常 , 用 通信 線 路 傳 送 。( 電 傳 打 字 機 、 有 線 傳 真 機 、 終 端 CRT) 4) 總 線定 義 : 公 共 信 號 線 集 合 , 用 于 微 機 系 統(tǒng) 各 部 件 間的 信 息 傳 遞 。分 類 內(nèi) 部 總 線 : 用 于 主 機 系 統(tǒng) 內(nèi) 部 信 息 傳 遞 的 總 線外 部 總 線 : 連 接 主 機 和 外 設(shè) 的 總 線 數(shù) 據(jù) 總 線 DB 它 用 來 傳 輸 數(shù) 據(jù) 信 息 , 是 雙 向 總 線 , CPU可 以 通 過DB從 內(nèi) 存 或 輸 入 設(shè) 備 輸 入 數(shù) 據(jù) , 也 可 以 通 過 DB將 內(nèi)部 數(shù) 據(jù) 送 到

27、內(nèi) 存 或 輸 出 設(shè) 備 從 信 息 傳 送 類 型 上 , 這 兩 類 總 線 都 包 括 數(shù) 據(jù) 總 線 , 地址 總 線 和 控 制 總 線 地 址 總 線 AB 它 用 來 傳 輸 CPU發(fā) 出 的 地 址 信 息 , 是 單 向 總 線 ,傳 送 地 址 信 息 的 目 的 是 指 明 與 CPU交 換 信 息 的 內(nèi) 存單 元 或 I/O設(shè) 備 。 控 制 總 線 CB 它 用 來 傳 送 控 制 信 號 , 時 序 信 號 和 狀 態(tài) 信 息 。 有的 是 CPU向 外 設(shè) 和 內(nèi) 存 發(fā) 出 的 信 息 , 有 的 是 內(nèi) 存 或外 設(shè) 向 CPU發(fā) 出 的 信 息 。 CB

28、作 為 一 個 整 體 是 雙 向 的 。 2. 軟 件 系 統(tǒng) 軟 件 : 為 運 行 、 管 理 和 維 護 計 算 機 系 統(tǒng)或 為 實 現(xiàn) 某 一 功 能 而 編 寫 的 各 種 程 序 的總 和 及 其 相 關(guān) 資 料 。系統(tǒng)軟件應(yīng)用軟件操作系統(tǒng)編譯系統(tǒng)網(wǎng)絡(luò)系統(tǒng)工具軟件軟件 1.2 計 算 機 中 的 數(shù) 制 及 編 碼l了 解 : 各 種 計 數(shù) 制 的 特 點 及 表 示 方 法 ;l掌 握 : 各 種 計 數(shù) 制 之 間 的 相 互 轉(zhuǎn) 換 。 1.2.1、 常 用 記 數(shù) 制 l十 進 制 符 合 人 們 的 習(xí) 慣l二 進 制 便 于 物 理 實 現(xiàn)l十 六 進 制 便 于

29、 識 別 、 書 寫l八 進 制 ( 已 很 少 使 用 , 略 去 )l 注 意 : 它 們 的 數(shù) 碼 、 基 數(shù) 、 權(quán) 及 進 位 規(guī) 則 的 不 同 。 1. 十 進 制特 點 : 以 十 為 底 , 逢 十 進 一 ; 共 有 0-9十 個 數(shù) 字 符 號 。表 示 : 1 2 01 2 0111 10 10 1010 1010 n nn n mmn iii mD D D DD DD 2. 二 進 制特 點 : 以 2為 底 , 逢 2進 位 ; 只 有 0和 1兩 個 符 號 。表 示 : 1 2 02 n 1 2 0111( ) 2 2 22 22n nn mmn iii mB

30、 B B BB BB 3. 十 六 進 制特 點 : 以 16為 底 , 逢 16進 位 ; 有 0-9及 A-F共 16個 數(shù) 字 符 號 。表 示 : 1 2 01 n 2 0 111( ) 16 16 1616 161616 n nn mmn iii mH H H HH HH 進 位 計 數(shù) 制 的 一 般 表 示一 般 地 , 對 任 意 一 個 K進 制 數(shù) S都 可 表 示 為1 2 0n 1 2 0111( ) n nk n mmn iii mS S K S K S KS K S KS K其 中 : Si - S的 第 i位 數(shù) 碼 , 可 以 是 K個 符 號 中 任 何 一

31、個 ; n,m 整 數(shù) 和 小 數(shù) 的 位 數(shù) ; K - 基 數(shù) ; Ki - K進 制 數(shù) 的 權(quán) 如 何 區(qū) 分 不 同 進 位 記 數(shù) 制 的 數(shù) 字在 數(shù) 字 后 面 加 一 個 字 母 進 行 區(qū) 分 :l 二 進 制 : 數(shù) 字 后 面 加 B, 如 1001Bl 十 進 制 : 一 般 不 加 , 也 可 加 D, 如 1001l 十 六 進 制 : 數(shù) 字 后 面 加 H , 如 1001Hl 八 進 制 : 數(shù) 字 后 面 加 O, 如 1001Ol 在 明 顯 可 以 區(qū) 分 其 記 數(shù) 制 的 情 況 下 , 可 以 省 略數(shù) 字 后 面 的 字 母 1.2.2、 各

32、種 數(shù) 制 間 的 轉(zhuǎn) 換1. 非 十 進 制 數(shù) 到 十 進 制 數(shù) 的 轉(zhuǎn) 換 按 相 應(yīng) 進 位 計 數(shù) 制 的 權(quán) 表 達 式 展 開 ,再 按 十 進 制 求 和 。 例 : 1101.101 B = (?)10 參 見 P13 64.C H = (?)10 參 見 P13 2. 十 進 制 到 非 十 進 制 數(shù) 的 轉(zhuǎn) 換l 十 進 制 二 進 制 的 轉(zhuǎn) 換 : 112.25 = (?) B 參 見 P13 整 數(shù) 部 分 : 除 2取 余 ; 小 數(shù) 部 分 : 乘 2取 整 。l 十 進 制 十 六 進 制 的 轉(zhuǎn) 換 : 301.6875=(?)H 參 見 P14 整 數(shù)

33、 部 分 : 除 16取 余 ; 小 數(shù) 部 分 : 乘 16取 整 。注 意 : 以 小 數(shù) 點 為 起 點 求 得 整 數(shù) 和 小 數(shù) 的 各 個 位 。 3. 二 進 制 與 十 六 進 制 間 的 轉(zhuǎn) 換l24=161 4位 二 進 制 數(shù) 表 示 1位 十 六 進 制 數(shù) 例 : 10110001001.110B = (?)H 0101 1000 1001.1100 5 8 9 . C 注 意 : 位 數(shù) 不 夠 時 要 補 0 1.2.3、 計 算 機 中 的 二 進 制 數(shù) 表 示1.定 點 小 數(shù) 的 表 示定 點 小 數(shù) : 小 數(shù) 點 準(zhǔn) 確 固 定 在 數(shù) 據(jù) 某 個 位

34、 置 上 的 小 數(shù) 。 為 了 方 便 , 通 常 小 數(shù) 點 固 定 在 最 高 數(shù) 據(jù) 位 的 左 邊 ,為 純 小 數(shù) 。 由 于 規(guī) 定 了 小 數(shù) 點 放 在 數(shù) 值 部 分 的 最 左 邊 ,所 以 小 數(shù) 點 不 需 要 明 確 表 示 。 定 點 小 數(shù) 表 示 方 法 主 要用 在 早 期 計 算 機 中 。2.整 數(shù) 的 表 示 整 數(shù) 可 以 看 作 是 小 數(shù) 點 定 在 數(shù) 據(jù) 的 最 低 位 右 邊 的 一種 數(shù) 據(jù) 。 在 計 算 機 系 統(tǒng) 中 , 常 用 幾 種 不 同 的 二 進 制 位數(shù) 表 示 一 個 整 數(shù) 。 3.浮 點 數(shù) 的 表 示 浮 點 數(shù)

35、 是 指 小 數(shù) 點 的 位 置 可 以 左 右 移 動 的 數(shù) 據(jù) 。 可 用 下 式表 示 :其 中 M: 浮 點 數(shù) 的 尾 數(shù) 或 稱 有 效 數(shù) 字 , 通 常 為 純 小 數(shù) R: 階 碼 的 基 數(shù) , 表 示 階 碼 采 用 的 數(shù) 制 , R為 2、 8、 16是一 常 數(shù) 。 與 尾 數(shù) 的 基 數(shù) 相 同 。 E: 階 碼 , 是 指 數(shù) 值 , 為 帶 符 號 整 數(shù) 。浮 點 數(shù) 的 表 示 中 還 有 兩 個 符 號 : 階 符 , 表 示 階 碼 的 符 號 , 決 定 浮 點 數(shù) 范 圍 的 大 小 : 尾 符 , 尾 數(shù) 的 符 號 位 , 安 排 在 最 高

36、 位 , 表 示 符 號 數(shù) 的正 負(fù) 。 ss ME , sEsM MRN E ss EM , 1.2.4、 二 進 制 編 碼 1.二 進 制 編 碼 的 十 進 制 數(shù) ( BCD碼 ) 8421碼 8421BCD碼 用 4位 二 進 制 編 碼 表 示 1位 十 進 制 數(shù) , 其 4位 二 進 制 編 碼 的 每 一 位 都 有 特 定 的 權(quán) 值 。 因 BCD碼 表 示的 是 十 進 制 數(shù) , 只 有 09這 十 個 有 效 數(shù) , 4位 二 進 制 碼的 6種 組 合 ( 10101111) 是 非 法 的 。 8421碼 與 十 進 制 數(shù) 、 二 進 制 數(shù) 的 轉(zhuǎn) 換例

37、 1-10把 十 進 制 數(shù) 234.15寫 成 BCD碼 的 表 示 形 式 。( 234.15) 10=( 0010 0011 0100.0001 0101) BCD 計 算 機 中 BCD碼 的 存 儲 方 式 計 算 機 的 存 儲 單 元 以 字 節(jié) 為 最 小 單 元 , 在 一 個 字 節(jié)中 存 放 BCD碼 有 兩 種 方 式 : 壓 縮 的 BCD碼 和 非 壓 縮 的BCD碼 。 壓 縮 的 BCD碼 是 在 一 個 字 節(jié) 中 存 放 2 個 4位 的 BCD碼 。 在 采 用 壓 縮 的 BCD碼 表 示 十 進 制 時 , 一 個 字 節(jié) 表 示 兩位 十 進 制 數(shù)

38、 。 十 進 制 數(shù) 92用 壓 縮 的 BCD碼 表 示 為10010010 非 壓 縮 的 BCD碼 是 每 個 字 節(jié) 只 存 放 一 個 BCD碼 , 低 4位為 有 效 BCD數(shù) , 高 4位 全 為 0。 例 十 進 制 數(shù) 92, 用 非 壓縮 BCD碼 就 表 示 為 00001001 00000010 2.字 符 的 編 碼 目 前 在 微 機 中 采 用 的 字 符 編 碼 系 統(tǒng) 是 ASCII碼 ,一 般 規(guī) 定 一 個 ASCII碼 放 在 字 節(jié) 的 低 7位 , 字 節(jié) 最 高位 恒 為 0。在 ASCII碼 傳 送 中 , 常 用 校 驗 碼 為 奇 偶 校 驗

39、 法 。 1.3 無 符 號 二 進 制 數(shù) 的 運 算 算 術(shù) 運 算 無 符 號 數(shù) 邏 輯 運 算 有 符 號 數(shù) 算 術(shù) 運 算 1.3.1、 無 符 號 數(shù) 的 運 算l算 術(shù) 運 算 包 括 : 加 法 運 算 減 法 運 算 乘 法 運 算 除 法 運 算 1. 運 算 規(guī) 則l 加 法 : 0+0=0, 0+1=1, 1+0=1, 1+1=0( 有 進 位 )l 減 法 : 0-0=0,1-0=1, 1-1=0, 0-1=1( 有 借 位 ) 乘 法 : 0X0=0, 0X1=0,1X0=0,1X1=1 可 轉(zhuǎn) 換 為 : 加 法 +左 移 位 除 法 : 乘 法 的 逆 運

40、算 , 可 轉(zhuǎn) 換 為 : 減 法 +右 移 位 注 意 : 一 個 數(shù) 乘 以 2相 當(dāng) 于 該 數(shù) 左 移 一 位 ; 除 以 2則 相 當(dāng) 于 該 數(shù) 右 移 1位 。 1.3.2. 無 符 號 數(shù) 的 表 示 范 圍 一 個 n位 的 無 符 號 二 進 制 數(shù) X, 其 表 示 范 圍 為 0 X 2n-1 (當(dāng)n=8時, 00000000B11111111B 即在0255之間)若 運 算 結(jié) 果 超 出 這 個 范 圍 , 則 產(chǎn) 生 溢 出 。( 或 者 說 運 算 結(jié) 果 超 出 n位 , 則 產(chǎn) 生 溢 出 )判 別 方 法 : 運 算 時 , 當(dāng) 最 高 位 向 更 高 位

41、 有 進 位 ( 或 借 位 )時 則 產(chǎn) 生 溢 出 。 例 : 11111111 + 00000001 1 00000000結(jié) 果 超 出 位 ( 最 高 位 有 進 位 ) , 發(fā) 生 溢出 。 ( 結(jié) 果 為 256, 超 出 位 二 進 制 數(shù) 所 能表 示 的 范 圍 255) 1.3.3. 邏 輯 運 算l與 ( )、 或 ( )、 非 ( ) 、 異 或 ( )l特 點 : 按 位 運 算 , 無 進 借 位l運 算 規(guī) 則.例 : A=10110110, B=01101011求 : A B, A B, A, A B 參 見 P2122 1.3.4. 邏 輯 門邏 輯 門 :

42、完 成 邏 輯 運 算 的 電 路掌 握 :l與 、 或 、 非 門 邏 輯 符 號 和 邏 輯 關(guān) 系( 真 值 表 ) ;l與 非 門 、 或 非 門 的 應(yīng) 用 。 與 門 ( AND Gate)Y = A B A B Y0 0 00 1 01 0 01 1 1&AB Y注 意 : 基 本 門 電 路 僅 完 成 1位 二 進 制 數(shù) 的 運 算 或 門 ( OR Gate)Y = A B A B Y0 0 00 1 11 0 11 1 1YAB 1 非 門 ( NOT Gate)1A YY = A A Y0 11 0 異 或 門 ( eXclusive OR Gate)Y = A B

43、=AB+ABYAB A B Y0 0 00 1 11 0 11 1 0 5. 譯 碼 器l74LS138譯 碼 器 :G1G2AG2BCBA Y0Y 7 譯 碼 輸 出譯 碼 輸 入譯 碼 使 能 74LS138真 值 表 使 能 端 輸 入 端 輸 出 端G1 #G2A #G2B C B A #Y0 #Y1 #Y2 #Y3 #Y4 #Y5 #Y6 #Y7 0 1 1 0 1 1 0 1 0 01 0 01 0 01 0 01 0 01 0 0 1 0 01 0 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1

44、1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1.4 帶 符 號 二 進 制 數(shù) 的 運 算l計 算 機 中 的 帶 符 號 二 進 制 數(shù)l 把 二 進 制 數(shù) 的 最 高 位 定 義 為 符 號 位l 符 號 位 為 0 表 示 正 數(shù) , 符 號 位 為 1 表 示 負(fù) 數(shù)l 連 同 符

45、 號 位 一 起 數(shù) 值 化 了 的 數(shù) , 稱 為 機 器 數(shù) 。l 機 器 數(shù) 所 表 示 的 真 實 的 數(shù) 值 , 稱 為 真 值 。( 在 以 下 講 述 中 , 均 以 位 二 進 制 數(shù) 為 例 ) 例 : +52 = +0110100 = 0 0110100 符 號 位 數(shù) 值 位 -52 = -0110100 = 1 0110100 真 值 機 器 數(shù) 1.4.1. 符 號 數(shù) 的 表 示l對 于 符 號 數(shù) , 機 器 數(shù) 常 用 的 表 示方 法 有 原 碼 、 反 碼 和 補 碼 三 種 。數(shù) X(真 值 )的 原 碼 記 作 X原 , 反碼 記 作 X反 , 補 碼

46、記 作 X補 。 注 意 : 對 正 數(shù) , 三 種 表 示 法 均 相 同 。 它 們 的 差 別 在 于 對 負(fù) 數(shù) 的 表 示 。 原 碼 X原l 定 義符 號 位 : 0表 示 正 , 1表 示 負(fù) ; 數(shù) 值 位 : 真 值 的 絕 對 值 。 nn nX XX X X 11 122 2 00 - 即 當(dāng) X為 正 數(shù) 和 零 (+0)時即 當(dāng) X為 負(fù) 數(shù) 和 零 (-0)時 原 碼 的 例 子真 值X=+18=+0010010X=-18=-0010010原 碼X原 =0 0010010X原 =1 0010010符 號 符 號 位n位 原 碼 表 示 數(shù) 值 的 范 圍 是對 應(yīng)

47、的 原 碼 是 1111 0111。(當(dāng) n=8時 , 1 1111111B0 1111111B, 即 -127127之 間 )( ) ( ) n n 1 12 1 2 1 數(shù) 0的 原 碼l8位 數(shù) 0的 原 碼 : +0 = 0 0000000 - 0 = 1 0000000 即 : 數(shù) 0的 原 碼 不 唯 一 。 反 碼 X反定 義l 若 X0 , 則 X反 =X原l 若 X0, 則 X補 = X反 = X原l若 X0, 則 X補 = X反 +1- nn nX XX X X 1 122 2 00 - 即 當(dāng) X為 正 數(shù) 和 零 (+0)時即 當(dāng) X為 負(fù) 數(shù) 和 零 (-0)時 例

48、:l X= 52= 0110100 X原 = 10110100 X反 = 11001011 X補 = X反 +1=11001100n位 補 碼 表 示 數(shù) 值 的 范 圍 是對 應(yīng) 的 補 碼 是 1000 0111。(當(dāng) n=8時 , 1 0000000B0 1111111B, 即 -128127之 間 ) ( ) 1 12 2 1n n 0的 補 碼 :l+0補 = +0原 =00000000l-0補 = -0反 +1=11111111+1 =1 00000000 對 8位 字 長 , 進 位 被 舍 掉l +0補 = -0補 = 00000000l數(shù) 0的 補 碼 是 唯 一 特 殊 數(shù)

49、 10000000l該 數(shù) 在 原 碼 中 定 義 為 : -0l在 反 碼 中 定 義 為 : -127l在 補 碼 中 定 義 為 : -128l對 無 符 號 數(shù) : (10000000) = 128 8位 有 符 號 數(shù) 的 表 示 范 圍 :l對 8位 二 進 制 數(shù) :l原 碼 : -127 +127l反 碼 : -127 +127l補 碼 : -128 +127l 想 一 想 : 16位 有 符 號 數(shù) 的 表 示 范 圍 是 多 少 ? 1.4.2. 有 符 號 二 進 制 數(shù) 與 十 進 制 的 轉(zhuǎn) 換對 用 補 碼 表 示 的 二 進 制 數(shù) : 1) 求 出 真 值 2)

50、 進 行 轉(zhuǎn) 換 例 :l 將 一 個 用 補 碼 表 示 的 二 進 制 數(shù) 轉(zhuǎn) 換 為 十 進 制 數(shù) 。 1) X補 = 0 0101110B 真 值 為 : +0101110B 正 數(shù) 所 以 : X=+46 2) X補 = 1 1010010B 負(fù) 數(shù) X = X 補 補 = 11010010補 = - 0101110B 所 以 : X = - 46 1.4.3. 補 碼 加 減 法 的 運 算 規(guī) 則l 通 過 引 進 補 碼 , 可 將 減 法 運 算 轉(zhuǎn) 換 為 加 法 運 算 。 規(guī) 則如 下 : X+Y補 =X補 +Y補 X-Y補 =X補 + -Y補 注 意 : 其 中 X

51、, Y為 正 負(fù) 數(shù) 均 可 , 符 號 位 參 與 運 算 。 例 :l X=-0110100, Y=+1110100, 求 X+Y補l X原 =10110100 l X補 = X反 +1=11001100l Y補 = Y原 =01110100l 所 以 : X+Y補 = X補 + Y補 =11001100+01110100 =01000000 1.4.4. 符 號 數(shù) 運 算 中 的 溢 出 問 題l 進 (借 )位 l 在 加 法 過 程 中 , 符 號 位 向 更 高 位 產(chǎn) 生 進 位 ;l 在 減 法 過 程 中 , 符 號 位 向 更 高 位 產(chǎn) 生 借 位 。l 溢 出 l 運

52、 算 結(jié) 果 超 出 運 算 器 所 能 表 示 的 范 圍 。 對 8位 二 進 制 數(shù) : 無 符 號 數(shù) : 0 255 原 碼 : -127 +127 有 符 號 數(shù) 反 碼 : -127 +127 補 碼 : -128 +127注 意 : 有 符 號 數(shù) 運 算 , 有 溢 出 表 示 結(jié) 果 是 錯 誤 的 無 符 號 數(shù) 運 算 , 有 進 位 表 示 結(jié) 果 是 錯 誤 的 溢 出 的 判 斷 方 法l 方 法 :l 同 號 相 減 或 異 號 相 加 不 會 溢 出 。l 同 號 相 加 或 異 號 相 減 可 能 溢 出 :l 兩 種 情 況 :同 號 相 加 時 , 結(jié)

53、果 符 號 與 加 數(shù) 符 號 相 反 溢 出 ; 異 號 相 減 時 , 結(jié) 果 符 號 與 減 數(shù) 符 號 相 同 溢 出 。l 方 法 :l 兩 個 8位 帶 符 號 二 進 制 數(shù) 相 加 或 相 減 時 , 若 C 7C6 1, 則 結(jié) 果 產(chǎn) 生 溢 出 。 C7為 最 高 位 的 進 (借 )位 ; C 為 次 高 位 的 進 (借 )位 。 例 :有 符 號 數(shù) 運 算 , 有 溢 出 表 示 結(jié) 果 是 錯 誤 的無 符 號 數(shù) 運 算 , 有 進 位 表 示 結(jié) 果 是 錯 誤 的 1 0 1 1 0 1 0 1 + 1 0 0 0 1 1 1 1 1 0 1 0 0 0 1 0 0 0 1 0 0 0 0 1 0 + 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1 0 1 0 0 0 0 1 0 + 1 1 0 0 1 1 0 1 1 0 0 0 0 1 1 1 1CASE1: CASE2:CASE3:

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!