九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

模擬電路與數(shù)字電路

上傳人:sha****en 文檔編號(hào):23644304 上傳時(shí)間:2021-06-10 格式:PPT 頁(yè)數(shù):142 大?。?.31MB
收藏 版權(quán)申訴 舉報(bào) 下載
模擬電路與數(shù)字電路_第1頁(yè)
第1頁(yè) / 共142頁(yè)
模擬電路與數(shù)字電路_第2頁(yè)
第2頁(yè) / 共142頁(yè)
模擬電路與數(shù)字電路_第3頁(yè)
第3頁(yè) / 共142頁(yè)

下載文檔到電腦,查找使用更方便

14.9 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《模擬電路與數(shù)字電路》由會(huì)員分享,可在線閱讀,更多相關(guān)《模擬電路與數(shù)字電路(142頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、數(shù) 字 邏 輯 電 路 本 課 程 為 模 擬 電 路 與 數(shù) 字 電 路 II , 課 程 為 2.5個(gè)學(xué) 分 , 屬 專(zhuān) 業(yè) 基 礎(chǔ) 課 . 本 課 程 具 有 較 強(qiáng) 的 實(shí) 踐 性 ,有 廣 泛 的 應(yīng) 用 領(lǐng) 域 . 學(xué) 好 本 課 程 的 要 點(diǎn) : 聽(tīng) 懂 每 一 堂 課 的 內(nèi) 容 、 培 養(yǎng) 邏 輯思 維 方 法 、 勤 于 思 考 . 課 程 內(nèi) 容 第 8章 數(shù) 字 邏 輯 基 礎(chǔ)第 9章 組 合 邏 輯 電 路第 10章 時(shí) 序 邏 輯 電 路 引 論第 11章 時(shí) 序 邏 輯 電 路 的 分 析 與 設(shè) 計(jì)第 12章 存 儲(chǔ) 器 和 可 編 程 邏 輯 器 件 第 1

2、3章 脈 沖 信 號(hào) 的 產(chǎn) 生 與 整 形 課 堂 要 求 認(rèn) 真 聽(tīng) 講 ,做 筆 記 ,少 睡 覺(jué) 按 時(shí) 到 教 室 聽(tīng) 課 作 業(yè) 認(rèn) 真 做 考 核 方 式 卷 面 成 績(jī) 課 堂 小 測(cè) 驗(yàn) 出 勤 率 作 業(yè) 上 課 提 問(wèn) 一 、 模 擬 量 和 數(shù) 字 量模 擬 量 : 模 擬 量 就 是 連 續(xù) 變 化 的 量 。 自 然 界 中 可 測(cè) 試 的 物 理 量 一 般 都 是 模 擬 量 ,例 如 溫 度 , 壓 力 , 距 離 , 時(shí) 間 等 。 數(shù) 字 量 : 數(shù) 字 量 是 離 散 的 量 。 數(shù) 字 量 一 般 是 將 模 擬 量 經(jīng) 過(guò) 抽 樣 、 量 化 和 編

3、 碼 后 而 得 到 的 。緒 論 數(shù) 字 電 路 是 指 使 用 數(shù) 字 信 號(hào) , 并 能 對(duì) 數(shù) 字 量進(jìn) 行 算 術(shù) 運(yùn) 算 和 邏 輯 運(yùn) 算 的 電 路 。 1 2 3 4 5 76 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 1218202224 262830323436 溫 度 ( C) 時(shí) 間 ( 小 時(shí) )A.M P.M溫 度 和 時(shí) 間 關(guān) 系 圖 (用 模 擬 量 表 示 ) 1 2 3 4 5 76 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 1218202224 262830323436 溫 度 ( C)

4、時(shí) 間 ( 小 時(shí) )A.M P.M溫 度 和 時(shí) 間 關(guān) 系 圖 (用 采 樣 值 表 示 ) 量 化 曲 線 1 2 3 4 5 76 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12時(shí) 間 ( 小 時(shí) )A.M P.M溫 度 和 時(shí) 間 關(guān) 系 圖 (用 數(shù) 字 形 式 表 示 ) 1001110100 10011 10011 10010 10010 10010 10011 10101 10111 11001 11011 11100 11101 11101 11101 11100 11011 11010 11001 10111 10110 10100 100

5、11 1001030292827262524232221201918 (oc) 二 、 模 擬 和 數(shù) 字 系 統(tǒng) 的 幾 個(gè) 實(shí) 例1) 音 頻 有 線 擴(kuò) 音 系 統(tǒng)音 頻 有 線 擴(kuò) 音 系 統(tǒng) 為 純 模 擬 系 統(tǒng) 。 音 頻 有 線 擴(kuò) 音 系 統(tǒng)Audio public address system線 性 放 大 器原 始 聲 波(Original sound waves)麥 克 風(fēng)(Microphone)音 頻Audiosignal Linearamplifier 放 大 后 的音 頻 信 號(hào)Amplifiedaudio signal揚(yáng) 聲 器Speaker再 生 聲 波Rep

6、roducedsound waves 2) CD 播 放 機(jī) CD 播 放 機(jī) 為 數(shù) 模 混 合 系 統(tǒng) 。 音 頻 信 號(hào) 的模 擬 再 生Analogreproductionof audio signal 揚(yáng) 聲 器Speaker聲 波sound waves CD驅(qū) 動(dòng) 器CD Drive 1 0 1 1 1 1 10 0 1數(shù) 字 數(shù) 據(jù)Digital data 線 性 放 大 器Linear amplifier數(shù) 模 轉(zhuǎn) 換 器DA convterCD機(jī) 原 理 圖 ( 單 聲 道 )Basic principle of a CD player 3) 數(shù) 字 鐘帶 數(shù) 字 顯 示 的

7、 數(shù) 字 鐘 是 一 個(gè) 純 數(shù) 字 系 統(tǒng) 。下 面 討 論 一 個(gè) 帶 數(shù) 字 顯 示 的 三 位 計(jì) 時(shí) 系 統(tǒng) 。 計(jì)時(shí)電路 秒 個(gè) 位秒 十 位分 個(gè) 位三 位 計(jì) 時(shí) 器 示 意 圖 定時(shí)激勵(lì)信號(hào)產(chǎn)生電路 秒 脈 沖1s 脈沖個(gè)數(shù)記錄電路 分 個(gè)位 二進(jìn) 制碼秒 十位 二進(jìn) 制碼秒 個(gè)位 二進(jìn) 制碼 碼轉(zhuǎn)換電路(譯碼器) 分 個(gè)位 顯示 碼秒 十位 顯示 碼秒 個(gè)位 顯示 碼 a bcdfega bcdfega bc dfeg 2) 電 路 中 器 件 工 作 于 “ 開(kāi) ” 和 “ 關(guān) ” 兩 種 狀 態(tài) ,研 究 電 路 的 輸 出 和 輸 入 的 邏 輯 關(guān) 系 ; 3) 電

8、 路 既 能 進(jìn) 行 “ 代 數(shù) ” 運(yùn) 算 ,也 能 進(jìn) 行 “ 邏 輯 ” 運(yùn) 算 ;4) 電 路 工 作 可 靠 ,精 度 高 ,抗 干 擾 性 能 好 .三 、 數(shù) 字 電 路 特 點(diǎn) :1) 工 作 信 號(hào) 是 二 進(jìn) 制 表 示 的 二 值 信 號(hào) (具 有 “ 0” 和 “ 1”兩 種 取 值 );5) 數(shù) 字 信 號(hào) 便 于 保 存 、 傳 輸 、 保 密 性 好 . 2.閻 石 主 編 : 數(shù) 字 電 子 技 術(shù) 基 礎(chǔ) ( 第 四 版 ) , 高 等 教 育 出 版 社 .(面 向 二 十 一 世 紀(jì) 教 材 )1.寇 戈 蔣 立 平 主 編 : 模 擬 電 路 與 數(shù) 字

9、 電 路 , 兵 器 工 業(yè) 出 版 社 .課 內(nèi) 參 考 教 材 應(yīng) 用 軟 件 : Multisim EWB 8.1 數(shù) 制 與 BCD碼 所 謂 “ 數(shù) 制 ” , 指 進(jìn) 位 計(jì) 數(shù) 制 , 即 用 進(jìn) 位 的 方 法 來(lái) 計(jì)數(shù) .數(shù) 制 包 括 計(jì) 數(shù) 符 號(hào) ( 數(shù) 碼 ) 和 進(jìn) 位 規(guī) 則 兩 個(gè) 方 面 。常 用 數(shù) 制 有 十 進(jìn) 制 、 二 進(jìn) 制 、 八 進(jìn) 制 、 十 二 進(jìn) 制 、 十六 進(jìn) 制 、 六 十 進(jìn) 制 等 。第 8章 數(shù) 字 邏 輯 電 路 基 礎(chǔ) 8.1.1 常 用 數(shù) 制 1. 十 進(jìn) 制(1) 計(jì) 數(shù) 符 號(hào) : 0, 1, 2, 3, 4, 5

10、, 6, 7, 8, 9.(3) 進(jìn) 位 規(guī) 則 : 逢 十 進(jìn) 一 .(2) 基 數(shù) : 10.例 : 1987.45=1 103 +9 102 + 8 101 + 7 100 +4 10-1 +5 10-2 2. 二 進(jìn) 制(1) 計(jì) 數(shù) 符 號(hào) : 0, 1 .(2) 進(jìn) 位 規(guī) 則 : 逢 二 進(jìn) 一 .(3) 二 進(jìn) 制 數(shù) 按 權(quán) 展 開(kāi) 式 1n mi ii2 2a)N( 210122 2121212021)11.101( (4) 十 進(jìn) 制 數(shù) 按 權(quán) 展 開(kāi) 式 權(quán) 1n mi ii10 10a)N( 系 數(shù) 1) 數(shù) 字 裝 置 簡(jiǎn) 單 可 靠 ;2) 二 進(jìn) 制 數(shù) 運(yùn)

11、算 規(guī) 則 簡(jiǎn) 單 ; 3) 數(shù) 字 電 路 既 可 以 進(jìn) 行 算 術(shù) 運(yùn) 算 , 也 可 以 進(jìn) 行 邏 輯 運(yùn) 算 .3.十 六 進(jìn) 制 和 八 進(jìn) 制十 六 進(jìn) 制 數(shù) 計(jì) 數(shù) 符 號(hào) : 0,1, .,9,A,B,C,D,E,F.十 六 進(jìn) 制 數(shù) 進(jìn) 位 規(guī) 則 : 逢 十 六 進(jìn) 一 . 1n mi ii16 16a)N(按 權(quán) 展 開(kāi) 式 :數(shù) 字 電 路 中 采 用 二 進(jìn) 制 的 原 因 : 2101 16111641613166 210116 16B16416D166)B4.D6( 例 :八 進(jìn) 制 數(shù) 計(jì) 數(shù) 符 號(hào) : 0,1, . . .6,7.八 進(jìn) 制 數(shù) 進(jìn)

12、位 規(guī) 則 : 逢 八 進(jìn) 一 .按 權(quán) 展 開(kāi) 式 : 1n mi ii8 8a)N( 21018 85848386)45.63( 例 : 只 所 以 采 用 八 進(jìn) 制 和 十 六 進(jìn) 制 表 示 二 進(jìn) 制 數(shù) ,是因 為 它 們 之 間 的 轉(zhuǎn) 換 很 直 觀 、 方 便 。 用 四 位 二 進(jìn) 制數(shù) 可 以 表 示 一 位 十 六 進(jìn) 制 數(shù) , 用 三 位 二 進(jìn) 制 數(shù) 可 以表 示 一 位 八 進(jìn) 制 數(shù) 。例 : (10110110)2=( )16 (10110110) 2=( )8B6266 4. 二 進(jìn) 制 數(shù) 與 十 進(jìn) 制 數(shù) 之 間 的 轉(zhuǎn) 換(1)二 進(jìn) 制 數(shù)

13、轉(zhuǎn) 換 為 十 進(jìn) 制 數(shù) (按 權(quán) 展 開(kāi) 法 )例 : 310132 2121212121)101.1011( 125.05.0128 = (11.625)10 例 : 數(shù) 制 轉(zhuǎn) 換 還 可 以 采 用 基 數(shù) 連 乘 、 連 除 等 方 法 .10(87.5) 64 16 4 2 1 0.5 6 5 4 3 2 1 0 -11 2 0 2 1 2 0 2 1 2 1 2 1 2 1 2 2(1010111.1)( 2) 十 進(jìn) 制 數(shù) 轉(zhuǎn) 換 為 二 進(jìn) 制 數(shù) (提 取 2的 冪 法 ) 課 堂 練 習(xí) (76.5)10=( )2 (25.125)10=( )2 (10110.1)2

14、=( )101001100.111001.00122.5 復(fù) 習(xí) 幾 種 常 見(jiàn) 的 數(shù) 制 : 二 進(jìn) 制 、 十 進(jìn) 制 、 八 進(jìn)制 、 十 六 進(jìn) 制 。 幾 種 常 見(jiàn) 進(jìn) 制 之 間 的 轉(zhuǎn) 換 : (101101.1)2=( )10 (35.5)10=( )2 (D5) 16=( )2 45.5100011.111010101 8.1.2 幾 種 簡(jiǎn) 單 的 編 碼 用 四 位 二 進(jìn) 制 代 碼 來(lái) 表 示 一 位 十 進(jìn) 制 數(shù) 碼 ,這 樣 的 代碼 稱 為 二 -十 進(jìn) 制 碼 ,或 BCD碼 . 四 位 二 進(jìn) 制 有 16種 不 同 的 組 合 ,可 以 在 這 16

15、種 代 碼 中任 選 10種 表 示 十 進(jìn) 制 數(shù) 的 10個(gè) 不 同 符 號(hào) ,選 擇 方 法 很 多 .選擇 方 法 不 同 ,就 能 得 到 不 同 的 編 碼 形 式 .1. 二 - 十 進(jìn) 制 碼 (BCD碼 )( Binary Coded Decimal codes) 常 見(jiàn) 的 BCD碼 有 8421碼 、 5421碼 、 2421碼 、 余 3碼 和 格雷 碼 等 。 十 進(jìn) 制 數(shù) 8421碼 5421碼 2421碼 余 3碼0 0000 0000 0000 00111 0001 0001 0001 01002 0010 0010 0010 01013 0011 0011

16、0011 01104 0100 0100 0100 01115 0101 1000 1011 10006 0110 1001 1100 10017 0111 1010 1101 10108 1000 1011 1110 10119 1001 1100 1111 1100常 用 BCD碼 (1) 有 權(quán) BCD碼 : 每 位 數(shù) 碼 都 有 確 定 的 位 權(quán) 的 碼 , 例 如 : 8421碼 、 5421碼 、 2421碼 . 如 : 5421碼 1011代 表 5+0+2+1=8; 2421碼 1100代 表 2+4+0+0=6. * 5421BCD碼 和 2421BCD碼 不 唯 一 .

17、 圖 . 例 : 2421BCD碼 0110也 可 表 示 6,今 后 一 律 按 表 中 規(guī) 律 編 碼 * 在 表 中 : 8421BCD碼 和 代 表 09的 二 進(jìn) 制 數(shù) 一 一 對(duì) 應(yīng) ; 5421BCD碼 的 前 5個(gè) 碼 和 8421BCD碼 相 同 , 后 5個(gè) 碼 在前 5個(gè) 碼 的 基 礎(chǔ) 上 加 1000構(gòu) 成 , 這 樣 的 碼 , 前 5個(gè) 碼 和 后 5 個(gè) 碼 一 一 對(duì) 應(yīng) 相 同 , 僅 高 位 不 同 ; 2421BCD碼 的 前 5個(gè) 碼 和 8421BCD碼 相 同 , 后 5個(gè) 碼 以中 心 對(duì) 稱 取 反 ,這 樣 的 碼 稱 為 自 反 代 碼

18、.例 : 40100 5101100000 91111 (2) 無(wú) 權(quán) BCD碼 : 每 位 數(shù) 碼 無(wú) 確 定 的 位 權(quán) , 例 如 : 余 3碼 . 余 3碼 的 編 碼 規(guī) 律 為 : 在 8421BCD碼 上 加 0011,例 6的 余 3碼 為 : 0110+0011=1001 圖 8.1 2.轉(zhuǎn) 換例 :用 8421BCD碼 表 示 十 進(jìn) 制 數(shù) (73.5)10十 進(jìn) 制 數(shù) 7 3 . 58421BCD碼 0111 0011 . 0101故 (73.5)10 =(01110011.0101)8421BCD碼思 考 :(00010101.0101) 8421BCD碼 =( )

19、2(73.5)10=( )21001001.1 1111.1(10110.1)2=( )8421BCD碼00100010.0101 3. 格 雷 碼 (Gray碼 ) 格 雷 碼 為 無(wú) 權(quán) 碼 ,特 點(diǎn) 為 : 相 鄰 兩 個(gè) 代 碼 之 間 僅 有 一位 不 同 ,其 余 各 位 均 相 同 .具 有 這 種 特 點(diǎn) 的 代 碼 稱 為 循 環(huán) 碼,格 雷 碼 是 循 環(huán) 碼 .( P160 表 8.2) 格 雷 碼 與 前 面 的 編 碼 方 式 有 什 么 不 同 ? 二 進(jìn) 制 碼B3B2B1B0 格 雷 碼R3R2R1R0000000010010001101000101011001

20、11 0000000100110010011001110101 0100 二 進(jìn) 制 碼B3B2B1B0 格 雷 碼R3R2R1R010001001101010111100110111101111 11001101111111101010101110011000設(shè) 四 位 二 進(jìn) 制 碼 為 B3B2B1B0,格 雷 碼 為 R3R2R1R0, 編 碼 的 可 靠 性01111000 如 果 用 觸 發(fā) 器 表 示 計(jì) 數(shù) 器 的 狀 態(tài) , 則 4個(gè) 觸 發(fā) 器要 同 時(shí) 發(fā) 生 狀 態(tài) 變 化 。 由 于 觸 發(fā) 器 電 氣 、 工 藝 方 面 的 差 別 , 其 翻 轉(zhuǎn) 的 速度 不 完

21、 全 一 致 。 可 能 出 現(xiàn) 瞬 間 誤 碼 。011100001000瞬 間 誤 碼 可 靠 性 編 碼代 碼 本 身 具 有 一 種 特 性 和 能 力 , 在代 碼 形 成 過(guò) 程 中 不 易 出 錯(cuò) , 或 者 說(shuō)代 碼 出 錯(cuò) 容 易 發(fā) 現(xiàn) 。 二 進(jìn) 制 碼B3B2B1B0 格 雷 碼R3R2R1R000000001001000110100010101100111 0000000100110010011001110101 0100 二 進(jìn) 制 碼B3B2B1B0 格 雷 碼R3R2R1R010001001101010111100110111101111 11001101111

22、111101010101110011000設(shè) 四 位 二 進(jìn) 制 碼 為 B3B2B1B0,格 雷 碼 為 R3R2R1R0,如 何 用 B3B2B1B0來(lái) 表 示 R3R2R1R0? 格 雷 碼 和 四 位 二 進(jìn) 制 碼 之 間 的 關(guān) 系 :設(shè) 四 位 二 進(jìn) 制 碼 為 B3B2B1B0,格 雷 碼 為 R3R2R1R0,則 R3=B3,R2=B3B2R1=B2 B1R0=B1 B0 其 中 ,為 異 或 運(yùn) 算 符 ,其 運(yùn) 算規(guī) 則 為 :若 兩 運(yùn) 算 數(shù) 相 同 ,結(jié) 果為 “ 0” ;兩 運(yùn) 算 數(shù) 不 同 ,結(jié) 果 為“ 1” .同 時(shí) 有 : B3=R3,B2=B3R2B

23、1=B2 R1B 0=B1 R0 8.2 邏 輯 代 數(shù) 基 礎(chǔ) 研 究 數(shù) 字 電 路 的 基 礎(chǔ) 為 邏 輯 代 數(shù) , 由 英 國(guó) 數(shù) 學(xué) 家George Boole在 1847年 提 出 的 , 邏 輯 代 數(shù) 也 稱 布 爾 代 數(shù) . 8.2.1 基 本 邏 輯 運(yùn) 算 在 邏 輯 代 數(shù) 中 ,變 量 常 用 字 母 A,B,C,Y,Z, a,b,c,x.y.z等 表 示 , 指 的 是 兩 種 對(duì) 立 的 狀 態(tài) ,如 脈 沖 的有 和 無(wú) 、 開(kāi) 關(guān) 的 接 通 和 斷 開(kāi) 、 命 題 的 正 確 和 錯(cuò) 誤 等 。因 此 , 變 量 的 取 值 只 能 是 “ 0” 或 “

24、 1” 。 邏 輯 代 數(shù) 中 只 有 三 種 基 本 邏 輯 運(yùn) 算 ,即 “ 與 ” 、“ 或 ” 、 “ 非 ” 。 1. 與 邏 輯 運(yùn) 算 定 義 : 只 有 決 定 一 事 件 的 全 部 條 件 都 具 備 時(shí) , 這 件事 才 成 立 ; 如 果 有 一 個(gè) 或 一 個(gè) 以 上 條 件 不 具 備 , 則 這 件 事就 不 成 立 。 這 樣 的 因 果 關(guān) 系 稱 為 “ 與 ” 邏 輯 關(guān) 系 。 與 邏 輯 電 路 狀 態(tài) 表開(kāi) 關(guān) A狀 態(tài) 開(kāi) 關(guān) B狀 態(tài) 燈 F狀 態(tài) 斷 斷 滅 斷 合 滅 合 斷 滅 合 合 亮 A BE F與 邏 輯 電 路 若 將 開(kāi) 關(guān) 斷

25、 開(kāi) 和 燈 的 熄 滅 狀 態(tài) 用 邏 輯 量 “ 0” 表 示 ;將 開(kāi) 關(guān)合 上 和 燈 亮 的 狀 態(tài) 用 邏 輯 量 “ 1” 表 示 ,則 上 述 狀 態(tài) 表 可 表示 為 : 與 邏 輯 真 值 表A B F=A B0 0 00 1 01 0 01 1 1 只 有 所 有 的 條 件 都 不具 備 時(shí) ,這 件 事 就 不 成 立 .這 樣 的 因 果 關(guān) 系 稱 為 “ 或 ” 邏 輯關(guān) 系 。 或 邏 輯 真 值 表A B F=A+ B0 0 00 1 11 0 11 1 1 AB E F或 邏 輯 電 路 1AB F=A+B或 門(mén) 邏 輯 符 號(hào) 或 門(mén) 的 邏 輯 功 能

26、 概 括 為 :1) 有 “ 1” 出 “ 1” ;2) 全 “ 0” 出 “ 0” . 3. 非 邏 輯 運(yùn) 算 定 義 :假 定 事 件 F成 立 與 否 同 條 件 A的 具 備 與 否 有 關(guān) ,若 A具 備 ,則 F不 成 立 ;若 A不 具 備 ,則 F成 立 .F和 A之 間 的 這種 因 果 關(guān) 系 稱 為 “ 非 ” 邏 輯 關(guān) 系 . 1A F=A 非 門(mén) 邏 輯 符 號(hào) 非 邏 輯 真 值 表 A F=A 0 1 1 0 與 門(mén) 和 或 門(mén) 均 可 以 有 多 個(gè) 輸 入 端 ,一 個(gè) 輸 出 端AE F非 邏 輯 電 路 非 門(mén) 只 有 一 個(gè) 輸 入 端 ,一 個(gè) 輸

27、 出 端 8.2.2 復(fù) 合 邏 輯 運(yùn) 算1. 與 非 邏 輯 (將 與 邏 輯 和 非 邏 輯 組 合 而 成 ) 與 非 邏 輯 真 值 表A B F=A B0 0 10 1 11 0 11 1 0 2) 相 異 得 “ 1” .4.異 或 邏 輯異 或 邏 輯 的 函 數(shù) 式 為 : F=AB+AB = A B =1AB F=A B異 或 門(mén) 邏 輯 符 號(hào)應(yīng) 用 :若 A作 為 控 制 端 ,B作 為 信 號(hào) 輸 入 端 .當(dāng) A=0時(shí) ,F=B 當(dāng) A=1時(shí) ,F=B在 大 規(guī) 模 集 成 電 路 中 ,可 作 為 極 性 控 制 電 路 使 用 =AB同 或 門(mén) 邏 輯 符 號(hào)F

28、=A B. 同 或 邏 輯 真 值 表A B F=A B0 0 10 1 01 0 01 1 1 .對(duì) 照 異 或 和 同 或 邏 輯 真 值 表 ,可 以 發(fā) 現(xiàn) : 同 或 和 異 或 互為 反 函 數(shù) ,即 : A B = A B .5.同 或 邏 輯同 或 邏 輯 式 為 :F = A B + A B =A B.同 或 邏 輯 的 功 能 為 : 1) 相 同 得 “ 1” ;2) 相 異 得 “ 0” . 教 材 165頁(yè) ,表 8.12給 出 了 門(mén) 電 路 的 幾 種 表 示 方 法 .本 課程 中 , 均 采 用 “ 國(guó) 標(biāo) ” 。 國(guó) 外 流 行 的 電 路 符 號(hào) 常 見(jiàn)

29、于 外文 書(shū) 籍 中 , 特 別 在 我 國(guó) 引 進(jìn) 的 一 些 計(jì) 算 機(jī) 輔 助 分 析 和 設(shè)計(jì) 軟 件 中 , 常 使 用 這 些 符 號(hào) 。 A 1 L A LAB LB L 1ABA L=1AB L B =A LAB L 1、 邏 輯 狀 態(tài) 和 邏 輯 電 平(1)邏 輯 狀 態(tài) : 邏 輯 1狀 態(tài)邏 輯 0狀 態(tài)(2)邏 輯 電 平 : 邏 輯 高 電 平 ,以 VH表 示邏 輯 低 電 平 ,以 VL表 示8.2.3 邏 輯 電 平 及 正 、 負(fù) 邏 輯 2、 正 、 負(fù) 邏 輯 門(mén) 電 路 的 輸 入 、 輸 出 為 二 值 信 號(hào) ,用 “ 0” 和 “ 1” 表示

30、.這 里 的 “ 0” 、 “ 1” 一 般 用 兩 個(gè) 不 同 電 平 值 來(lái) 表 示 . 1) .若 用 高 電 平 VH表 示 邏 輯 “ 1” ,用 低 電 平 VL表 示 邏輯 “ 0” ,則 稱 為 正 邏 輯 約 定 ,簡(jiǎn) 稱 正 邏 輯 ; 2) .若 用 高 電 平 VH表 示 邏 輯 “ 0” ,用 低 電 平 VL表 示 邏輯 “ 1” ,則 稱 為 負(fù) 邏 輯 約 定 ,簡(jiǎn) 稱 負(fù) 邏 輯 .在 本 課 程 中 ,如 不 作 特 殊 說(shuō) 明 ,一 般 都 采 用 正 邏 輯 表 示 . 3. VH和 VL的 具 體 值 ,由 所 使 用 的 集 成 電 路 品 種 以

31、及 所加 電 源 電 壓 而 定 ,有 兩 種 常 用 的 集 成 電 路 : 1) TTL電 路 ,電 源 電 壓 為 5伏 ,VH約 為 3V左 右 ,VL約 為0.2伏 左 右 ; 2) CMOS電 路 ,電 源 電 壓 范 圍 較 寬 ,CMOS4000系 列的 電 源 電 壓 VDD為 318伏 . CMOS電 路 的 VH約 為 0.9 VDD,而 VL約 為 0伏 左 右 . 4.對(duì) 一 個(gè) 特 定 的 邏 輯 門(mén) ,采 用 不 同 的 邏 輯 表 示 時(shí) ,其 門(mén)的 名 稱 也 就 不 同 . 正 負(fù) 邏 輯 轉(zhuǎn) 換 舉 例 電 平 真 值 表 正 邏 輯 (與 非 門(mén) ) 負(fù)

32、 邏 輯 (或 非 門(mén) ) Vi1 Vi2 Vo A B Y A B Y VL VL VH 0 0 1 1 1 0 VL VH VH 0 1 1 1 0 0 VH VL VH 1 0 1 0 1 0 VH VH VL 1 1 0 0 0 1 8.2.4 基 本 定 律 和 規(guī) 則1. 邏 輯 函 數(shù) 的 相 等 因 此 ,如 兩 個(gè) 函 數(shù) 的 真 值 表 相 等 ,則 這 兩 個(gè) 函 數(shù) 一 定 相 等 . 設(shè) 有 兩 個(gè) 邏 輯 :F1=f1(A1,A2,An) F2=f2(A1,A2,An) 如 果 對(duì) 于 A1,A2,An 的 任 何 一 組 取 值 (共 ?組 ), F1 和 F2均

33、 相 等 ,則 稱 F1和 F2相 等 . 例 :設(shè) 兩 個(gè) 函 數(shù) : F1=A+BC F2=(A+B)(A+C)求 證 :F1=F2解 :這 兩 個(gè) 函 數(shù) 都 具 有 三 個(gè) 變 量 ,有 8組 邏 輯 取 值 ,可 以 列 出 F1和 F2的 真 值 表 A B C F1 F20 0 0 0 00 0 1 0 00 1 0 0 00 1 1 1 11 0 0 1 11 0 1 1 11 1 0 1 11 1 1 1 1 由 表 可 見(jiàn) ,對(duì) 于 A,B,C的 每 組 取值 ,函 數(shù) F 1的 值 和 F2的 值 均 相 等 ,所 以 F1=F2. 自 等 律 A 1=A ; A+0=A

34、 重 迭 律 A A=A ; A+A=A 交 換 律 A B= B A ; A+B=B+A 結(jié) 合 律 A(BC)=(AB)C ; A+(B+C)=(A+B)+C 分 配 律 A(B+C)=AB+AC ; A+BC=(A+B)(A+C) 反 演 律 A+B=AB ; AB=A + B 2. 基 本 定 律 0 1律 A 0=0 ; A+1=1 互 補(bǔ) 律 A A=0 ; A+A=1 還 原 律 A = A= 反 演 律 也 稱 德 摩 根 定 理 ,是 一 個(gè) 非 常 有 用 的 定 理 .3. 邏 輯 代 數(shù) 的 三 條 規(guī) 則 (1) 代 入 規(guī) 則 任 何 一 個(gè) 含 有 變 量 x的

35、等 式 ,如 果 將 所 有 出 現(xiàn) x的 位 置 ,都 用 一 個(gè) 邏 輯 函 數(shù) 式 F代 替 ,則 等 式 仍 然 成 立 . 例 : 已 知 等 式 A+B=A B ,有 函 數(shù) 式 F=B+C,則 用 F代 替 等 式 中 的 B, 有 A+(B+C)=A B+C 即 A+B+C=A B C 由 此 可 以 證 明 反 演 定 律 對(duì) n變 量 仍 然 成 立 .(2) 反 演 規(guī) 則A1+A2+ +An = A1A2 An 設(shè) F為 任 意 邏 輯 表 達(dá) 式 ,若 將 F中 所 有 運(yùn) 算 符 、 常 量 及變 量 作 如 下 變 換 : + 0 1 原 變 量 反 變 量 +

36、1 0 反 變 量 原 變 量 則 所 得 新 的 邏 輯 式 即 為 F的 反 函 數(shù) , 記 為 F。例 已 知 F=A B + A B, 根 據(jù) 上 述 規(guī) 則 可 得 : F=(A+B)(A+B) 由 F求 反 函 數(shù) 注 意 :1) 保 持 原 式 運(yùn) 算 的 優(yōu) 先 次 序 ;2) 原 式 中 的 不 屬 于 單 變 量 上 的 非 號(hào) 不 變 ; 例 已 知 F=A+BC+DE, 則F=A B+C D+E (3) 對(duì) 偶 規(guī) 則 設(shè) F為 任 意 邏 輯 表 達(dá) 式 ,若 將 F中 所 有 運(yùn) 算 符 和 常 量 作如 下 變 換 : + 0 1 + 1 0 則 所 得 新 的

37、邏 輯 表 達(dá) 式 即 為 F的 對(duì) 偶 式 , 記 為 F.F=(A+B)(C+D)例 有 F=A B + C D例 有 F=A+B+C+D+E F=A B C D E 對(duì) 偶 是 相 互 的 ,F和 F互 為 對(duì) 偶 式 .求 對(duì) 偶 式 注 意 : 1) 保 持 原 式 運(yùn) 算 的 優(yōu) 先 次 序 ;2) 原 式 中 的 長(zhǎng) 短 “ 非 ” 號(hào) 不 變 ;3) 單 變 量 的 對(duì) 偶 式 為 自 己 。 對(duì) 偶 規(guī) 則 : 若 有 兩 個(gè) 邏 輯 表 達(dá) 式 F和 G相 等 , 則 各 自 的 對(duì) 偶 式 F和 G也 相 等 。使 用 對(duì) 偶 規(guī) 則 可 使 得 某 些 表 達(dá) 式 的

38、證 明 更 加 方 便 。已 知 A(B+C)=AB+AC A+BC=(A+B)(A+C)對(duì) 偶 關(guān) 系例 : 練 習(xí) : 8.8( 3) 4.常 用 公 式1) 消 去 律 AB+AB=A證 明 :AB+AB=A (B+B)=A1=A 對(duì) 偶 關(guān) 系 (A+B)(A+B)=A該 公 式 說(shuō) 明 :兩 個(gè) 乘 積 項(xiàng) 相 加 時(shí) ,若 它 們 只 有 一 個(gè) 因 子不 同 (如 一 項(xiàng) 中 有 B,另 一 項(xiàng) 中 有 B),而 其 余 因 子 完 全 相同 ,則 這 兩 項(xiàng) 可 以 合 并 成 一 項(xiàng) ,且 能 消 去 那 個(gè) 不 同 的 因子 (即 B和 B).ABC+ABC=? 2) 吸

39、收 律 1 A+AB=A證 明 :A+AB=A(1+B)=A1=A 對(duì) 偶 關(guān) 系 A(A+B)=A該 公 式 說(shuō) 明 :兩 個(gè) 乘 積 項(xiàng) 相 加 時(shí) ,若 其 中 一 項(xiàng) 是 另 一 項(xiàng)的 因 子 ,則 另 一 項(xiàng) 是 多 余 的 .A+ABCD=? 3) 吸 收 律 2 A+AB=A+B證 明 : 對(duì) 偶 關(guān) 系A(chǔ)+AB=(A+A)(A+B)=1(A+B) =A+B A(A+B)=AB該 公 式 說(shuō) 明 :兩 乘 積 項(xiàng) 相 加 時(shí) ,若 其 中 一 項(xiàng) 的 非 是 另 一 項(xiàng)的 因 子 ,則 此 因 子 是 多 余 的 .AB+ABC=? 4) 包 含 律 AB+AC+BC=AB+AC

40、證 明 :AB+AC+BC =AB+AC+(A+A)BC =AB+AC+ABC+ABC =AB(1+C)+AC(1+B) =AB+AC 對(duì) 偶 關(guān) 系 (A+B)(A+C)(B+C)=(A+B)(A+C)該 公 式 說(shuō) 明 :三 個(gè) 乘 積 項(xiàng) 相 加 時(shí) ,其 中 兩 個(gè) 乘 積 項(xiàng) 中 ,一 項(xiàng)含 有 原 變 量 A,另 一 項(xiàng) 含 有 反 變 量 A ,而 這 兩 項(xiàng) 的 其 余 因 子都 是 第 三 個(gè) 乘 積 的 因 子 ,則 第 三 個(gè) 乘 積 項(xiàng) 是 多 余 的 . 5) 關(guān) 于 異 或 和 同 或 運(yùn) 算對(duì) 奇 數(shù) 個(gè) 變 量 而 言 , 有 A1A2. An=A1 A2 .

41、An對(duì) 偶 數(shù) 個(gè) 變 量 而 言 , 有 A1A2. An=A1 A2 . An該 公 式 可 以 推 廣 為 :AB+AC+BCDE=AB+AC 例 證 : A1 A2 A3 = A1 A2 A3 證 明 : A1 A2 A3 = A1 A2 A3 = A1 A2 A3+ ( A1 A2) A3 = A1 A2 A3+ ( A1 A2) A3 = A1 A2 A3 異 或 和 同 或 的 其 他 性 質(zhì) :A 0=AA 1=AA A=0A (B C)=(A B ) CA (B C)=AB AC(證 明 ) A 1=AA 0 =AA A= 1A (B C)=(A B) CA+(B C )=(

42、A+B) (A+C)利 用 異 或 門(mén) 可 實(shí) 現(xiàn) 數(shù) 字 信 號(hào) 的 極 性 控 制 .同 或 功 能 由 異 或 門(mén) 實(shí) 現(xiàn) .注 意 : A (B+C)=A B+A C 8.2.5 邏 輯 函 數(shù) 的 標(biāo) 準(zhǔn) 形 式1. 函 數(shù) 的 “ 與 或 ” 式 和 “ 或 與 ” 式 “ 與 或 ” 式 , 指 一 個(gè) 函 數(shù) 表 達(dá) 式 中 包 含 若 干 個(gè) “ 與 ”項(xiàng) , 這 些 “ 與 ” 項(xiàng) 的 “ 或 ” 表 示 這 個(gè) 函 數(shù) 。 “或 與 ” 式 , 指 一 個(gè) 函 數(shù) 表 達(dá) 式 中 包 含 若 干 個(gè) “ 或 ”項(xiàng) , 這 些 “ 或 ” 項(xiàng) 的 “ 與 ” 表 示 這 個(gè)

43、 函 數(shù) 。例 : F(A,B,C,D)=A+BC+ABCD例 : F(A,B,C)=(A+B)(A+C)(A+B+C) 2. 邏 輯 函 數(shù) 的 兩 種 標(biāo) 準(zhǔn) 形 式1) 最 小 項(xiàng) 的 概 念 (1) 最 小 項(xiàng) 特 點(diǎn) 最 小 項(xiàng) 是 “ 與 ” 項(xiàng) 。 n個(gè) 變 量 構(gòu) 成 的 每 個(gè) 最 小 項(xiàng) , 一 定 是 包 含 n個(gè) 因 子 的 乘 積 項(xiàng) ; 在 各 個(gè) 最 小 項(xiàng) 中 , 每 個(gè) 變 量 必 須 以 原 變 量 或 反 變 量 形 式 作 為 因 子 出 現(xiàn) 一 次 , 而 且 僅 出 現(xiàn) 一 次 。有 A、 B兩 變 量 的 最 小 項(xiàng) 共 有 ? ?例 : F(A,

44、B,C,D)=A+BC+ABCD 例 有 A、 B兩 變 量 的 最 小 項(xiàng) 共 有 四 項(xiàng) (22):A BA B A B A B例 有 A、 B、 C三 變 量 的 最 小 項(xiàng) 共 有 八 項(xiàng) (23):ABC、 ABC、 ABC、 ABC、 ABC、 ABC、 ABC、 ABC( 2) 最 小 項(xiàng) 編 號(hào) 任 一 個(gè) 最 小 項(xiàng) 用 mi 表 示 , m表 示 最 小 項(xiàng) , 下 標(biāo) i 為 使 該 最 小 項(xiàng) 為 1的 變 量 取 值 所 對(duì) 應(yīng) 的 等 效 十 進(jìn) 制 數(shù) 。CBA CBAm 0 m1000 0010 1 CBA BCA CBA CBA CAB ABC m2 m3 m

45、4 m5 m6 m7010 011 100 101 110 1112 3 4 5 6 7最 小 項(xiàng)二 進(jìn) 制 數(shù)十 進(jìn) 制 數(shù)編 號(hào) (3) 最 小 項(xiàng) 的 性 質(zhì) 變 量 任 取 一 組 值 , 僅 有 一 個(gè) 最 小 項(xiàng) 為 1, 其 他 最 小 項(xiàng) 為 零 ; n變 量 的 全 體 最 小 項(xiàng) 之 和 為 1;0 0 1A B C 0 0 0 m0CBA m1 m2 m3 m4 m5 m6 m7CBA CBA BCA CBA CBA CAB ABC 1-n2 0i imF1 0 0 0 0 0 0 00 1 0 0 0 0 0 0 110 1 00 1 11 0 01 0 11 1 01

46、 1 1 000000 000000 100000 010000 001000 000100 000010 000001 111111三 變 量 全 部 最 小 項(xiàng) 真 值 表 p172 不 同 的 最 小 項(xiàng) 相 與 , 結(jié) 果 為 0; 兩 最 小 項(xiàng) 相 鄰 , 相 鄰 最 小 項(xiàng) 相 “ 或 ” , 可 以 合 并 成 一 項(xiàng) , 并 可 以 消 去 一 個(gè) 變 量 因 子 。相 鄰 的 概 念 : 兩 最 小 項(xiàng) 如 僅 有 一 個(gè) 變 量 因 子 不 同 , 其 他變 量 均 相 同 , 則 稱 這 兩 個(gè) 最 小 項(xiàng) 相 鄰 .相 鄰 最 小 項(xiàng) 相 “ 或 ” 的 情 況 :例

47、 : A B C+A B C =B C 任 一 n 變 量 的 最 小 項(xiàng) , 必 定 和 其 他 n 個(gè) 不 同 最 小項(xiàng) 相 鄰 。2) 最 大 項(xiàng) 的 概 念( 1) 最 大 項(xiàng) 特 點(diǎn) 最 大 項(xiàng) 是 “ 或 ” 項(xiàng) 。 n個(gè) 變 量 構(gòu) 成 的 每 個(gè) 最 大 項(xiàng) , 一 定 是 包 含 n個(gè) 因 子 的 “ 或 ” 項(xiàng) ; 在 各 個(gè) 最 大 項(xiàng) 中 , 每 個(gè) 變 量 必 須 以 原 變 量 或 反 變 量 形 式 作 為 因 子 出 現(xiàn) 一 次 , 而 且 僅 出 現(xiàn) 一 次 。 例 有 A、 B兩 變 量 的 最 大 項(xiàng) 共 有 四 項(xiàng) :例 有 A、 B、 C三 變 量 的

48、 最 大 項(xiàng) 共 有 八 項(xiàng) :A+ BA+ B A+ BA+ BA+B+C、 A+B+C、 A+B+C、 A+B+C、A+B+C、 A+B+C、 A+B+C、 A+B+C(2) 最 大 項(xiàng) 編 號(hào) 任 一 個(gè) 最 大 項(xiàng) 用 Mi 表 示 , M表 示 最 大 項(xiàng) , i怎 么求 得 ? 下 標(biāo) i 為 使 該 最 大 項(xiàng) 為 0的 變 量 取 值 所 對(duì) 應(yīng) 的 等 效十 進(jìn) 制 數(shù) 。 A+B+C =M4(3) 最 大 項(xiàng) 的 性 質(zhì) P173 變 量 任 取 一 組 值 , 僅 有 一 個(gè) 最 大 項(xiàng) 為 0, 其 它 最 大 項(xiàng) 為 1; n變 量 的 全 體 最 大 項(xiàng) 之 積 為

49、 0; 不 同 的 最 大 項(xiàng) 相 或 , 結(jié) 果 為 1;例 : 有 最 大 項(xiàng) A +B+ C,要 使 該 最 大 項(xiàng) 為 0, A、 B、 C的 取 值 應(yīng) 為 1、 0、 0, 二 進(jìn) 制 數(shù) 100所 等 效 的 十 進(jìn) 制 數(shù) 為 4, 所 以 兩 相 鄰 的 最 大 項(xiàng) 相 “ 與 ” , 可 以 合 并 成 一 項(xiàng) , 并 可 以 消 去 一 個(gè) 變 量 因 子 。相 鄰 的 概 念 : 兩 最 大 項(xiàng) 如 僅 有 一 個(gè) 變 量 因 子 不 同 , 其 他 變 量 均 相 同 , 則 稱 這 兩 個(gè) 最 大 項(xiàng) 相 鄰 。相 鄰 最 大 項(xiàng) 相 “ 與 ” 的 情 況 :任

50、一 n 變 量 的 最 大 項(xiàng) , 必 定 和 其 他 n 個(gè) 不 同 最 大 項(xiàng)相 鄰 。例 : (A+B+C)(A+B+C)=A+B 3) 最 小 項(xiàng) 和 最 大 項(xiàng) 的 關(guān) 系編 號(hào) 下 標(biāo) 相 同 的 最 小 項(xiàng) 和 最 大 項(xiàng) 互 為 反 函 數(shù) , 即Mi = mi 或 mi = Mi4) 邏 輯 函 數(shù) 的 最 小 項(xiàng) 之 和 形 式例 :例 如 : m0 = ABC = A+B+C = M0MO = A+B+C = ABC = mO 標(biāo) 準(zhǔn) 的 與 或 式 任 一 邏 輯 函 數(shù) 都 可 以 表 達(dá) 為 最 小 項(xiàng) 之 和 的 形 式 ,而 且是 唯 一 的 .例 : F(A,

51、B,C) = A B +A C 該 式 不 是 最 小 項(xiàng) 之 和 形 式=m( 1, 3, 6, 7)=AB( C+C) +AC( B+B)=ABC+ABC+ABC+ABC=m(2 , 4 , 6)=(2 , 4 , 6)F(A,B,C) = ABC + ABC +ABC5) 邏 輯 函 數(shù) 的 最 大 項(xiàng) 之 積 的 形 式 標(biāo) 準(zhǔn) 的 或 與 式注 意 :對(duì) 最 小 項(xiàng) 編號(hào) 時(shí) 應(yīng) 按 變 量 的高 低 位 順 序 編 號(hào) 。 例 : = M (0 , 2 , 4 )= (0 , 2 , 4 )F(A,B,C) = (A+B+C)(A+B+C)(A+B+C)任 一 邏 輯 函 數(shù) 都

52、可 以 表 達(dá) 為 最 大 項(xiàng) 之 積 的 形 式 ,而 且是 唯 一 的 . = M (1 , 4 , 5 , 6 )例 : F(A,B,C) = (A + C )(B + C) =(A+B B+C)(A A+B+C)=(A+B+C)(A+B+C)(A+B+C)(A+B+C) 6) 最 小 項(xiàng) 之 和 的 形 式 和 最 大 項(xiàng) 之 積 的 形 式 之 間 的 關(guān) 系若 F = mi則 F = mjj iF = mj j i= mj j i F(A,B,C)=m1+m3+m4+m6+m7F(A,B,C)=m0+m2+m5= Mj j i F(A,B,C)=m0+m2+m5=m0 m2m5 =

53、M0 M2M5 例 : F (A , B , C) = m(1 , 2 , 4 , 5)= M (0 , 3 , 6 , 7 )例 : F (A , B , C) = M(0 , 2 , 3 , 7)= m (1 , 4 , 5 , 6 )練 習(xí) : 1. 邏 輯 函 數(shù) F(A,B,C)= m(0,3,5) , 則 其 反 函數(shù) F= m( ), 對(duì) 偶 函 數(shù) F = m( ); 2.F (A , B , C) = ( A C) (A B )的 最 小 項(xiàng) 之 和 的 表 達(dá) 式為 ( ) , 最 大 項(xiàng) 之 積 的 表 達(dá) 式 ( ) 3. 真 值 表 與 邏 輯 表 達(dá) 式 真 值 表

54、 與 邏 輯 表 達(dá) 式 都 是 表 示 邏 輯 函 數(shù) 的 方 法 。( 1) 由 邏 輯 函 數(shù) 式 列 真 值 表 由 邏 輯 函 數(shù) 式 列 真 值 表 可 采 用 三 種 方 法 , 以 例 說(shuō) 明 :例 : 試 列 出 下 列 邏 輯 函 數(shù) 式 的 真 值 表 。 F( A, B, C) =AB+BC 方 法 一 : 將 A、 B、 C三 變 量 的 所 有 取 值 的 組 合 ( 共 八 種 ) , 分 別 代 入 函 數(shù) 式 , 逐 一 算 出 函 數(shù) 值 , 填 入 真 值 表 中 。A B C F0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 01 0

55、 1 01 1 0 11 1 1 1 方 法 二 : 先 將 函 數(shù) 式 F表 示 為 最 小 項(xiàng) 之 和 的 形 式 : =m( 3, 6, 7) =AB( C+C) +BC( A+A)=ABC+ABC+ABC F(A,B,C) =AB+BC A B C F0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 01 0 1 01 1 0 11 1 1 1最 后 根 據(jù) 最 小 項(xiàng) 的 性 質(zhì) , 在 真 值 表 中 對(duì) 應(yīng) 于 ABC取 值 為011、 110、 111處 填 “ 1” , 其 它 位 置 填 “ 0” 。 方 法 三 : 根 據(jù) 函 數(shù) 式 F的 含 義 ,

56、直 接 填 表 。 函 數(shù) F=AB+BC表 示 的 含 義 為 :1) 當(dāng) A和 B同 時(shí) 為 “ 1” ( 即 AB=1) 時(shí) , F=1 2) 當(dāng) B和 C同 時(shí) 為 “ 1” ( 即 BC=1) 時(shí) , F=13) 當(dāng) 不 滿 足 上 面 兩 種 情 況 時(shí) , F=0 A B C F0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 01 0 1 01 1 0 11 1 1 1 方 法 三 是 一 種 較 好 的方 法 , 要 熟 練 掌 握 。 A B C F1 F2 F0 0 0 0 0 10 0 1 0 1 10 1 0 1 1 00 1 1 1 0 11 0

57、0 1 0 11 0 1 1 1 01 1 0 0 1 1 1 1 1 0 0 1例 : F=(AB) (BC)令 : F1=(AB) ; F2=(BC) F=F1F2( 2) 由 真 值 表 寫(xiě) 邏 輯 函 數(shù) 式 根 據(jù) 最 小 項(xiàng) 的 性 質(zhì) , 用 觀 察 法 , 可 直 接 從 真 值 表 寫(xiě) 出函 數(shù) 的 最 小 項(xiàng) 之 和 表 達(dá) 式 。例 : 已 知 函 數(shù) F的 真 值 表 如 下 , 求 邏 輯 函 數(shù) 表 達(dá) 式 。A B C F0 0 0 00 0 1 00 1 0 10 1 1 01 0 0 11 0 1 01 1 0 11 1 1 1 解 : 由 真 值 表 可 見(jiàn)

58、 , 當(dāng) ABC取 010、 100、 110、 111時(shí) , F為 “ 1” 。 所 以 , F由 4個(gè) 最 小 項(xiàng) 組 成 : F( A, B, C) =m( 2, 4, 6, 7)A B C F0 0 0 00 0 1 00 1 0 10 1 1 01 0 0 11 0 1 01 1 0 11 1 1 1=ABC+ABC+ABC+ABC 8.2.6 邏 輯 函 數(shù) 的 化 簡(jiǎn)化 簡(jiǎn) 的 意 義 : 節(jié) 省 元 器 件 ,降 低 電 路 成 本 ; 提 高 電 路 可 靠 性 ; 減 少 連 線 ,制 作 方 便 .邏 輯 函 數(shù) 的 幾 種 常 用 表 達(dá) 式 : F(A,B,C) =A

59、B+AC 與 或 式=(A+C)(A+B) 或 與 式=ABAC 與 非 與 非 式=A+C+A+B 或 非 或 非 式=AB+AC 與 或 非 式最 簡(jiǎn) 與 或 表 達(dá) 式 的 標(biāo) 準(zhǔn) :1) 所 得 與 或 表 達(dá) 式 中 , 乘 積 項(xiàng) ( 與 項(xiàng) ) 數(shù) 目 最 少 ;2) 每 個(gè) 乘 積 項(xiàng) 中 所 含 的 變 量 數(shù) 最 少 。 ( 包 含 律 )( 兩 次 求 反 ) 邏 輯 函 數(shù) 常 用 的 化 簡(jiǎn) 方 法 有 : 公 式 法 、 卡 諾 圖 法 和 列表 法 。 本 課 程 要 求 掌 握 公 式 法 和 卡 諾 圖 法 。1. 公 式 化 簡(jiǎn) 法 針 對(duì) 某 一 邏 輯

60、式 ,反 復(fù) 運(yùn) 用 邏 輯 代 數(shù) 公 式 消 去 多 余 的 乘積 項(xiàng) 和 每 個(gè) 乘 積 項(xiàng) 中 多 余 的 因 子 ,使 函 數(shù) 式 符 合 最 簡(jiǎn) 標(biāo) 準(zhǔn) . 化 簡(jiǎn) 中 常 用 方 法 : (1) 并 項(xiàng) 法在 化 簡(jiǎn) 中注 意代 入 規(guī) 則的 使 用(2)吸 收 法 利 用 公 式 A+AB=A 利 用 公 式 AB+AB=A例 : F=ABC+ABC+ABC+ABC=( B+B) AC+( B+B) AC=A+BC =(A+BC)+(A+BC)B+AC+D例 : F=A+ABC B+AC+D+BC 反 演 律=AC+AC=C (3) 消 項(xiàng) 法 例 : F=ABCD+AE+BE

61、+CDE=ABCD+(A+B)E+CDE=ABCD+ABE+CDE=ABCD+(A+B)E=ABCD+AE+BE利 用 公 式 AB+AC+BC=AB+AC CBCAABY CBAAB )( CABAB CABCDBAABCDBABAY )( BAABCDBABA BACDBA CDBA CDBABA (4) 消 因 子 法 利 用 公 式 A+AB=A+B (5) 配 項(xiàng) 法例 : F=AB+AC+BC=AB+AC+(A+A)BC=AB+AC+ABC+ABC=(AB+ABC)+(AC+ABC)=AB+AC利 用 公 式 A+A=1 ; A 1=A; A A=0 ; A+0=A等 對(duì) 比 較

62、復(fù) 雜 的 函 數(shù) 式 , 要 求 熟 練 掌 握 上 述 方 法 , 才 能把 函 數(shù) 化 成 最 簡(jiǎn) 。2. 卡 諾 圖 化 簡(jiǎn) 法 該 方 法 是 將 邏 輯 函 數(shù) 用 一 種 稱 為 “ 卡 諾 圖 ” 的 圖 形 來(lái)表 示 ,然 后 在 卡 諾 圖 上 進(jìn) 行 函 數(shù) 的 化 簡(jiǎn) 的 方 法 .1)卡 諾 圖 的 構(gòu) 成 化 簡(jiǎn) 邏 輯 式 EFBADCCAABDAADY 練 習(xí) : 8.10( 2) 卡 諾 圖 是 一 種 包 含 一 些 小 方 塊 的 幾 何 圖 形 ,圖 中 每 個(gè) 小方 塊 稱 為 一 個(gè) 單 元 ,每 個(gè) 單 元 對(duì) 應(yīng) 一 個(gè) 最 小 項(xiàng) . 最 小

63、項(xiàng) 在卡 諾 圖 中 的 位 置 不 是 任 意 的 ,它 必 須 滿 足 相 鄰 性 規(guī) 則 .卡 諾圖 中 的 相 鄰 有 兩 層 含 義 : 幾 何 相 鄰 性 ,即 幾 何 位 置 上 相 鄰 ,也 就 是 左 右 緊 挨 著 或 者 上 下 相 接 ; 對(duì) 稱 相 鄰 性 ,即 圖 形 中 對(duì) 稱 位 置 的 單 元 是 相 鄰 的 . 卡 諾 圖A B0 00 11 01 1 m0 m1 m2 m3 AA B BAB BAAB AB AB 1010 m0 m1 m2 m3 mi二變量圖 ABC01 00 01 11 10ABCm0 ABCm1 ABCm2ABCm3ABCm4 ABC

64、m5 ABCm6ABCm7 相 鄰 性 規(guī) 則 m1 m3 m2m7相 鄰 性 規(guī) 則 m2 m0 m1 ( 對(duì) 稱 ) m4 循 環(huán) 碼三變量圖 ABCD00011110 00 01 11 100 1 3 24 5 7 6 8 9 11 1012 13 15 14 相 鄰 性 規(guī) 則 m3m5 m7 m6 m15 四變量圖 ABCDE00011110 000 001 011 0100 1 3 2 8 9 11 1024 25 27 26 110 111 101 1006 7 5 414 15 13 12 22 23 21 2030 31 29 2816 17 19 182) 邏 輯 函 數(shù)

65、的 卡 諾 圖 表 示 法五變量圖 用 卡 諾 圖 表 示 邏 輯 函 數(shù) , 只 是 把 各 組 變 量 值 所 對(duì) 應(yīng) 的邏 輯 函 數(shù) F的 值 , 填 在 對(duì) 應(yīng) 的 小 方 格 中 。( 其 實(shí) 卡 諾 圖 是 真 值 表 的 另 一 種 畫(huà) 法 )ABC01 00 01 11 10m 3m5 m70 0 00 011 1例 : F( A, B, C) =ABC+ABC+ABC 用 卡 諾 圖 表 示 為 : 已知一般表達(dá)式畫(huà)函數(shù)卡諾圖 解 : (1) 將 邏 輯 式 轉(zhuǎn) 化 為 與 或 式(2) 作 變 量 卡 諾 圖 找 出 各 與 項(xiàng) 所 對(duì) 應(yīng) 的 最 小項(xiàng) 方 格 填 1,

66、 其 余 不 填 。 例 已 知 , 試 畫(huà) 出 Y 的 卡 諾 圖 。)( BDCABDAY ABDAY )( BDC CBDAB CD00011110 00 01 11 10 (3) 根 據(jù) 與 或 式 填 圖 1 1 1 1 1 1 1 1 AB 對(duì) 應(yīng) 最 小 項(xiàng) 為同 時(shí) 滿 足 A = 1, B = 1 的 方 格 。 ABDA BCD 對(duì) 應(yīng) 最 小 項(xiàng) 為 同 時(shí) 滿 足 B = 1, C = 0, D = 1的 方 格AD 對(duì) 應(yīng) 最 小 項(xiàng) 為 同 時(shí) 滿 足 A = 0, D = 的 方 格 。 例 : 畫(huà) 出 F(A,B,C,D)=ABCD+BCD+AC+A用 卡 諾 圖 表 示 為 :ABCD00011110 00 01 11 101 1 0 01 1 0 01 1 1 11 1 1 1 3) 在 卡 諾 圖 上 合 并 最 小 項(xiàng) 的 規(guī) 則 當(dāng) 卡 諾 圖 中 有 最 小 項(xiàng) 相 鄰 時(shí) ( 即 : 有 標(biāo) 1的 方 格 相 鄰 ),可 利 用 最 小 項(xiàng) 相 鄰 的 性 質(zhì) , 對(duì) 最 小 項(xiàng) 合 并 。 規(guī) 則 為 :( 1) 卡 諾 圖 上 任 何

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!