九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

單片機(jī)課程設(shè)計(jì)鍵盤輸入在LED數(shù)碼管上的顯示

上傳人:仙*** 文檔編號(hào):28315680 上傳時(shí)間:2021-08-25 格式:DOC 頁(yè)數(shù):15 大?。?76.02KB
收藏 版權(quán)申訴 舉報(bào) 下載
單片機(jī)課程設(shè)計(jì)鍵盤輸入在LED數(shù)碼管上的顯示_第1頁(yè)
第1頁(yè) / 共15頁(yè)
單片機(jī)課程設(shè)計(jì)鍵盤輸入在LED數(shù)碼管上的顯示_第2頁(yè)
第2頁(yè) / 共15頁(yè)
單片機(jī)課程設(shè)計(jì)鍵盤輸入在LED數(shù)碼管上的顯示_第3頁(yè)
第3頁(yè) / 共15頁(yè)

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《單片機(jī)課程設(shè)計(jì)鍵盤輸入在LED數(shù)碼管上的顯示》由會(huì)員分享,可在線閱讀,更多相關(guān)《單片機(jī)課程設(shè)計(jì)鍵盤輸入在LED數(shù)碼管上的顯示(15頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、 課 程 設(shè) 計(jì)課 程 單片機(jī)的控制系統(tǒng)課程設(shè)計(jì) 題 目 鍵盤輸入在LED數(shù)碼管上的顯示 院 系 電氣信息工程學(xué)院 專業(yè)班級(jí) 學(xué)生姓名 學(xué)生學(xué)號(hào) 指導(dǎo)教師 年 月 日11 課程設(shè)計(jì)任務(wù)書課程 單片機(jī)的控制系統(tǒng)課程設(shè)計(jì)題目 鍵盤輸入在LED數(shù)碼管上的顯示專業(yè) 姓名 學(xué)號(hào) 主要內(nèi)容、基本要求、主要參考資料等主要內(nèi)容:完成鍵盤輸入在LED數(shù)碼管上的顯示?;疽螅?1了解 44 鍵盤的工作原理。2熟悉 SPCE061A 單片機(jī)控制數(shù)碼管顯示的方法。3掌握 44 鍵盤的使用方法。4掌握 44 鍵盤控制數(shù)碼管顯示的方法。參考資料:1肖洪兵.跟我學(xué)用單片機(jī)J.北京:北京航空航天大學(xué)出版社,2002.8 2

2、何立民.單片機(jī)高級(jí)教程第1版M.北京:北京航空航天大學(xué)出版社,2001.63趙曉安.MCS-51單片機(jī)原理及應(yīng)用M.天津:天津大學(xué)出版社,2001.3 4李廣第.單片機(jī)基礎(chǔ)第1版M.北京:北京航空航天大學(xué)出版社,1999.55徐惠民.單片微型計(jì)算機(jī)原理與應(yīng)用M.北京:北京郵電大學(xué)出版社,1996.26 袁勤勇.嵌入式系統(tǒng)構(gòu)件M.北京:北京機(jī)械工業(yè)出版社,2002.完成期限 指導(dǎo)教師 專業(yè)負(fù)責(zé)人 年 月 日單片機(jī)的控制系統(tǒng)課程設(shè)計(jì)目 錄第1章 設(shè)計(jì)的研究背景及目的要求11.1 研究背景11.2 設(shè)計(jì)目的11.3 硬件選擇11.4 設(shè)計(jì)內(nèi)容1第2章 設(shè)計(jì)的基本原理及硬件連接方案22.1 4X4鍵盤

3、掃描原理圖22.2 SPCE061A獲取44鍵盤鍵值原理22.3 硬件連接3第3章 軟件設(shè)計(jì)方案53.1 設(shè)計(jì)步驟53.2 設(shè)計(jì)程序6第4章 調(diào)試結(jié)果與分析74.1 調(diào)試結(jié)果74.2 結(jié)果分析7結(jié)論與體會(huì)8參考文獻(xiàn)9附錄10第1章 設(shè)計(jì)的研究背景及目的要求1.1 研究背景用單片機(jī)驅(qū)動(dòng)LED數(shù)碼管有很多方法,按顯示方式可分靜態(tài)顯示和動(dòng)態(tài)(掃描)顯示;按譯碼方式可分硬件譯碼和軟件譯碼。靜態(tài)顯示數(shù)據(jù)穩(wěn)定,占用很少的CPU時(shí)間。動(dòng)態(tài)顯示需要CPU時(shí)刻對(duì)顯示器件進(jìn)行數(shù)據(jù)刷新,顯示數(shù)據(jù)有閃爍感,占用的CPU時(shí)間多。LED數(shù)碼管的外圍電路一般需要一個(gè)限流電阻和加大驅(qū)動(dòng)電流的晶體管。LED數(shù)碼管是由發(fā)光二級(jí)管

4、顯示字段組成的顯示器,有“8”字段和“米”字段之分,這種顯示器有共陽(yáng)極和共陰極兩種。實(shí)際上不用驅(qū)動(dòng)電路即可達(dá)到正常亮度,為了可靠性設(shè)計(jì)可采用晶體管構(gòu)成驅(qū)動(dòng)電路。1.2 設(shè)計(jì)目的在單片機(jī)的產(chǎn)品設(shè)計(jì)中,人機(jī)界面是非常重要的部分,而且隨著系統(tǒng)的日益復(fù)雜,以及人們對(duì)產(chǎn)品的人機(jī)交互能力的要求不斷提升,常握單片機(jī)系統(tǒng)中的人機(jī)界面基礎(chǔ)設(shè)計(jì)能力成為了學(xué)習(xí)單片機(jī)的基礎(chǔ)課程,而4X4鍵盤的操作和LED數(shù)碼管的動(dòng)態(tài)顯示是人機(jī)界面設(shè)計(jì)的基礎(chǔ)內(nèi)容,掌握這些基礎(chǔ)設(shè)計(jì)能力,加深對(duì)人機(jī)界面的認(rèn)識(shí),同時(shí)提高人機(jī)界面系統(tǒng)設(shè)計(jì)能力。1.3 硬件選擇裝有Windows系統(tǒng)和nSP IDE仿真環(huán)境的PC機(jī)一臺(tái),nSP 十六位單片機(jī)實(shí)驗(yàn)

5、箱一個(gè)。本設(shè)計(jì)用到的實(shí)驗(yàn)箱硬件模塊為:SPCE061A核心及周邊電路模塊(包含32個(gè)I/O口)。半導(dǎo)體數(shù)碼管,44鍵盤。做此實(shí)驗(yàn)用51板也可以,因?yàn)?1板也提供了相應(yīng)的LED模組,因?yàn)閷?shí)驗(yàn)室里面給我們提供了61板,所以我決定選用SPCE061A單片機(jī)實(shí)驗(yàn)箱。1.4 設(shè)計(jì)內(nèi)容給 44 鍵盤的每個(gè)鍵定義一個(gè)功能,其中把定義為 09 的鍵盤稱為數(shù)字鍵,把定義成 DEL 的鍵稱為刪除鍵,把定義成 ENT 的鍵成為確認(rèn)鍵,其他鍵稱為保留鍵。如果是數(shù)字鍵按下,把代表數(shù)字顯示在數(shù)碼管上:按鍵按下時(shí),6 位數(shù)碼管靠右邊顯示該鍵的代表數(shù)字;繼續(xù)按鍵時(shí),已經(jīng)顯示在數(shù)碼管上的數(shù)字左移一位,按鍵代表的數(shù)字顯示在最右邊

6、的數(shù)碼管上。第2章 設(shè)計(jì)的基本原理及硬件連接方案2.1 4X4鍵盤掃描原理圖鍵盤按其接線方法有:直接式鍵盤、行列式鍵盤(又稱矩陣鍵盤)、串轉(zhuǎn)并鍵盤等;在本設(shè)計(jì)中,采用4X4的行列式鍵盤,即鍵盤排列為4行、4列,共16個(gè)按鍵。44鍵盤的電路圖如圖 1-3所示,分別定義這16個(gè)按鍵盤為KEY116。圖 2-1 44鍵盤電路圖圖中C1C4為44鍵盤的列掃描線,L1L4為44鍵盤的行掃描線。先使行掃描線輸出高電平,然后讀取列掃描線的狀態(tài),得到與按鍵橫向位置對(duì)應(yīng)的4位列碼;如果是有鍵被按下時(shí),則對(duì)應(yīng)的列掃描線必然會(huì)被讀回高電平,如果是無(wú)鍵盤按下時(shí),則讀取的列碼必定全是0(低電平)。這也就可以判斷有無(wú)按鍵

7、的按下了。2.2 SPCE061A獲取44鍵盤鍵值原理單片機(jī)與該電路連接時(shí),使用4個(gè)端口作為輸出口,接4條行掃描線;使用4個(gè)端口作為輸入口,接4條列掃描線。使用SPCE061A的IOA815八個(gè)端口作44鍵盤的掃描,IOA03接行掃描線,IOA47接列掃描線。IOA15IOA14IOA13IOA12SPCE061A IOA 11 IOA10 IOA9 IOA8L1L2L3L44X4鍵盤C1C2C3C4圖 2-2 SPCE061A和44鍵盤電路連接圖如上圖中,IOA12IOA15設(shè)置為輸出口,接行掃描線;IOA8IOA11設(shè)置為帶下拉電阻的輸入口,接列掃描線。按照44鍵盤的工作原理,先只把IOA

8、12輸出高電平,其他都輸出低電平,掃描IOA8到IOA11四個(gè)輸入口的值,如果每個(gè)輸入口的值還是0;再把IOA13輸出高電平,其他都輸出低電平,掃描IOA8到IOA11四個(gè)輸入口的值,如果每個(gè)輸入口還沒有檢測(cè)到高電平;從IOA14輸出高電平掃描,從IOA15輸出高電平掃描,直到檢測(cè)到高電平,保存掃描行值和列碼。2.3 硬件連接硬件連接圖如圖 2-3,IOA0IOA7連接和6位數(shù)碼管的ag、dp,IOA口的高八位IOA15IOA8連接44鍵盤的L1L4、C1C4,IOB15IOB12連接數(shù)碼管的位信號(hào)14,IOB2IOB1連接數(shù)碼管的位信號(hào)56,IOB0連接數(shù)碼管的分隔符信號(hào)DD。即把JP4、J

9、P5的引腳全部用跳線短接起來,用一根排線連接J28和JP7,注意J27和JP7的連接順序(連接順序?yàn)椋篔27的第0號(hào)引腳連接JP7的C4引腳,J27的第7號(hào)引腳連接JP7的L1引腳)。abcdefgDP6位數(shù)碼管123456DDIOA0IOA1IOA2IOA3IOA4 IOA15IOA5 IOA14IOA6 IOA13IOA7 IOA12SPCE061AIOB15 IOA11IOB14 IOA10IOB13 IOA9IOB12 IOA8IOB2IOB1IOB0L1L2L3L44X4鍵盤C1C2C3C4圖 2-3 硬件連接圖第3章 軟件設(shè)計(jì)方案3.1 設(shè)計(jì)步驟1.建立一個(gè)新工程cyd,在工程里新

10、建C語(yǔ)言文件main.c。2.拷貝并添加頭文件SPCE061A.c和SPCE061A.inc到新建工程cyd。3.按照程序流程圖編寫程序,如圖3-1所示。開始HI初始化系統(tǒng)取鍵值 確認(rèn)鍵按下確認(rèn)鍵按下標(biāo)志1數(shù)字鍵按下且確認(rèn)鍵沒有按下當(dāng)前顯示的數(shù)字左移一位按鍵代表的數(shù)字顯示在最右邊的數(shù)碼管上刪除鍵按下且確認(rèn)鍵沒有按下當(dāng)前顯示的數(shù)字右移一位最左邊的數(shù)碼管顯示0其他清看門狗圖 3-1 主程序流程圖4. Rebuild All。5.根據(jù)硬件連接圖連接實(shí)驗(yàn)箱電路。6.下載程序到實(shí)驗(yàn)箱,運(yùn)行。7.觀察實(shí)驗(yàn)箱LED數(shù)碼管的狀態(tài),分析是否和設(shè)計(jì)要求相符。3.2 設(shè)計(jì)程序由于程序過多,如附錄所示。第4章 調(diào)試結(jié)

11、果與分析4.1 調(diào)試結(jié)果給 44 鍵盤的每個(gè)鍵定義一個(gè)功能,其中把定義為 09 的鍵盤稱為數(shù)字鍵,把定義成DEL的鍵稱為刪除鍵,把定義成ENT的鍵成為確認(rèn)鍵,其他鍵稱為保留鍵。如果是數(shù)字鍵按下,把代表數(shù)字顯示在數(shù)碼管上:按鍵按下時(shí),6位數(shù)碼管靠右邊顯示該鍵的代表數(shù)字;繼續(xù)按鍵時(shí),已經(jīng)顯示在數(shù)碼管上的數(shù)字左移一位,按鍵代表的數(shù)字顯示在最右邊的數(shù)碼管上。如果是刪除鍵按下時(shí),每按一次刪除鍵顯示在最右邊數(shù)字被刪除,其他數(shù)字右移一位,最右邊一位顯示 0,比如在第 5 個(gè)數(shù)碼管上顯示“8”,在第 6 個(gè)數(shù)碼管上顯示“9”,當(dāng)按一次刪除鍵時(shí),在第 6 個(gè)數(shù)碼管上顯示“8”,第 5 個(gè)數(shù)碼管顯示按鍵前第四個(gè)數(shù)

12、碼管顯示的內(nèi)容(或者說刪除了9)。4.2 結(jié)果分析此設(shè)計(jì)驗(yàn)證了鍵盤輸入在LED數(shù)碼管上的顯示的功能??赡軙?huì)出現(xiàn)的問題就是在按鍵時(shí)候需要注意,當(dāng)保留鍵按下時(shí),不做任何操作。當(dāng)按確認(rèn)鍵時(shí),按任何鍵將不起作用。結(jié)論與體會(huì)設(shè)計(jì)結(jié)論:1. 設(shè)計(jì)中我遇到了按鍵分辨的問題。2. 我采用的解決方法是自己在紙上記下自己定義的功能。3. 此次設(shè)計(jì)的優(yōu)點(diǎn)是程序簡(jiǎn)單,不過缺點(diǎn)是操作繁瑣。很難理清按鍵順序。4. 我建議能夠簡(jiǎn)化操作步驟,使電路圖連接更清晰。操作起來能夠得心應(yīng)手。心得體會(huì):?jiǎn)纹瑱C(jī)是一門非常重視實(shí)踐的技術(shù),不能總是看書,但要學(xué)習(xí)它首先應(yīng)看書,對(duì)單片機(jī)引腳、內(nèi)部結(jié)構(gòu)、寄存器和原理有一定地了解和感官認(rèn)識(shí),它的是

13、怎樣工作的,能干些什么?剛開始時(shí),也許你看不明白,但這并不要緊,因?yàn)槟氵€缺乏實(shí)踐經(jīng)驗(yàn)?,F(xiàn)在單片機(jī)應(yīng)用廣泛,因此各個(gè)廠家分別推出了自己的單片機(jī),按內(nèi)部結(jié)構(gòu)體系派系分:51系列、PIC系列、AVR系列、摩托羅拉等等!因?yàn)樗麄兊木幊谭椒ê驼{(diào)試過程以及內(nèi)部指令結(jié)構(gòu)有一定的相似,只要學(xué)精通一款就OK了!尤其是用C語(yǔ)言編程,就幾乎不用分什么派系,但是我們要選擇一款有代表性的知識(shí)范圍廣,并且入門容易,書籍多。一般來說,MCS-51系列單片機(jī)已經(jīng)得到廣泛的普及和應(yīng)用,市場(chǎng)上它的資料也比較多,用的人也很多。參考文獻(xiàn)1肖洪兵.跟我學(xué)用單片機(jī)J.北京:北京航空航天大學(xué)出版社,2002.8 2何立民.單片機(jī)高級(jí)教程第

14、1版M.北京:北京航空航天大學(xué)出版社,2001.63趙曉安.MCS-51單片機(jī)原理及應(yīng)用M.天津:天津大學(xué)出版社,2001.3 4李廣第.單片機(jī)基礎(chǔ)第1版M.北京:北京航空航天大學(xué)出版社,1999.55徐惠民.單片微型計(jì)算機(jī)原理與應(yīng)用M.北京:北京郵電大學(xué)出版社,1996.2 6 袁勤勇.嵌入式系統(tǒng)構(gòu)件M.北京:北京機(jī)械工業(yè)出版社,2002.附錄#include SPCE061A.h#include Dig.h#include Key.hint main(void)int Ent_Flag = 0;unsigned int uiKey;unsigned int uiData6 = 1,0,0,

15、0,0,1;uiData0 = 0;uiData5 = 0;Key_Init();DIG_Init();while(1)uiKey = Key_Get();switch(uiKey)Case KEY_0:if(Ent_Flag = 0)uiData0 = uiData1; uiData1 = uiData2;uiData2 = uiData3;uiData3 = uiData4;uiData4 = uiData5;uiData5 = 7; break;case KEY_1:if(Ent_Flag = 0) uiData0 = uiData1;uiData1 = uiData2;uiData2

16、= uiData3;uiData3 = uiData4;uiData4 = uiData5;uiData5 = 8; break; case KEY_2: if(Ent_Flag = 0) uiData0 = uiData1;uiData1 = uiData2;uiData2 = uiData3;uiData3 = uiData4;uiData4 = uiData5;uiData5 = 9; break;case KEY_3: break;case KEY_4:if(Ent_Flag = 0)uiData0 = uiData1; uiData1 = uiData2;uiData2 = uiDa

17、ta3;uiData3 = uiData4;uiData4 = uiData5;uiData5 = 4; break;case KEY_5: if(Ent_Flag = 0) uiData0 = uiData1;uiData1 = uiData2;uiData2 = uiData3;uiData3 = uiData4;uiData4 = uiData5;uiData5 = 5; break;case KEY_6: if(Ent_Flag = 0) uiData0 = uiData1; uiData1 = uiData2;uiData2 = uiData3;uiData3 = uiData4;u

18、iData4 = uiData5;uiData5 = 6;break;case KEY_7: break;case KEY_8: if(Ent_Flag = 0) uiData0 = uiData1;uiData1 = uiData2;uiData2 = uiData3;uiData3 = uiData4;uiData4 = uiData5;uiData5 = 1;break;case KEY_9: if(Ent_Flag = 0) uiData0 = uiData1; uiData1 = uiData2;uiData2 = uiData3;uiData3 = uiData4;uiData4

19、= uiData5;uiData5 = 2;break;case KEY_A: if(Ent_Flag = 0) uiData0 = uiData1;uiData1 = uiData2;uiData2 = uiData3;uiData3 = uiData4;uiData4 = uiData5;uiData5 = 3;break;case KEY_B:break;case KEY_C: if(Ent_Flag = 0) uiData5 = uiData4; uiData4 = uiData3;uiData3 = uiData2;uiData2 = uiData1;uiData1 = uiData

20、0;uiData0 = 0; break;case KEY_D: if(Ent_Flag = 0) uiData0 = uiData1; uiData1 = uiData2;uiData2 = uiData3;uiData3 = uiData4;uiData4 = uiData5;uiData5 = 0; break;case KEY_E: break;case KEY_F: Ent_Flag = 1;break;default:break;DIG_Set(1,g_DatauiData0); DIG_Set(2,g_DatauiData1); DIG_Set(3,g_DatauiData2);

21、 DIG_Set(4,g_DatauiData3); DIG_Set(5,g_DatauiData4); DIG_Set(6,g_DatauiData5); *P_Watchdog_Clear = 0x0001; 課程設(shè)計(jì)成績(jī)?cè)u(píng)價(jià)表課程名稱單片機(jī)的控制系統(tǒng)課程設(shè)計(jì)題目名稱鍵盤輸入在LED數(shù)碼管上的顯示學(xué)生姓名學(xué)號(hào)指導(dǎo)教師姓名職稱序號(hào)評(píng)價(jià)項(xiàng)目指 標(biāo)滿分評(píng)分1工作量、工作態(tài)度和出勤率按期圓滿的完成了規(guī)定的任務(wù),難易程度和工作量符合教學(xué)要求,工作努力,遵守紀(jì)律,出勤率高,工作作風(fēng)嚴(yán)謹(jǐn),善于與他人合作。202課程設(shè)計(jì)質(zhì)量課程設(shè)計(jì)選題合理,計(jì)算過程簡(jiǎn)練準(zhǔn)確,分析問題思路清晰,結(jié)構(gòu)嚴(yán)謹(jǐn),文理通順,撰寫規(guī)范,圖表完備正確。453創(chuàng)新工作中有創(chuàng)新意識(shí),對(duì)前人工作有一些改進(jìn)或有一定應(yīng)用價(jià)值。54答辯能正確回答指導(dǎo)教師所提出的問題。30總分評(píng)語(yǔ):指導(dǎo)教師: 年 月 日

展開閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!