九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

單片機(jī)電子時(shí)鐘的設(shè)計(jì) 畢業(yè)設(shè)計(jì)

上傳人:仙*** 文檔編號(hào):28885917 上傳時(shí)間:2021-09-17 格式:DOC 頁(yè)數(shù):27 大小:296.71KB
收藏 版權(quán)申訴 舉報(bào) 下載
單片機(jī)電子時(shí)鐘的設(shè)計(jì) 畢業(yè)設(shè)計(jì)_第1頁(yè)
第1頁(yè) / 共27頁(yè)
單片機(jī)電子時(shí)鐘的設(shè)計(jì) 畢業(yè)設(shè)計(jì)_第2頁(yè)
第2頁(yè) / 共27頁(yè)
單片機(jī)電子時(shí)鐘的設(shè)計(jì) 畢業(yè)設(shè)計(jì)_第3頁(yè)
第3頁(yè) / 共27頁(yè)

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《單片機(jī)電子時(shí)鐘的設(shè)計(jì) 畢業(yè)設(shè)計(jì)》由會(huì)員分享,可在線閱讀,更多相關(guān)《單片機(jī)電子時(shí)鐘的設(shè)計(jì) 畢業(yè)設(shè)計(jì)(27頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、單片機(jī)電子時(shí)鐘的設(shè)計(jì)200 9 級(jí)電子信息工程單片機(jī)原理及應(yīng)用課程設(shè)計(jì)報(bào)告設(shè)計(jì)題目單片機(jī)電子時(shí)鐘的設(shè)計(jì)姓名及學(xué)號(hào)學(xué)院工程技術(shù)學(xué)院專業(yè)電子信息工程班級(jí)2009級(jí)3班指導(dǎo)教師張文2012年05月08日題目:?jiǎn)纹瑱C(jī)電子時(shí)鐘的設(shè)計(jì)一、設(shè)計(jì)題目及要求1、設(shè)計(jì)題目利用單片機(jī)定時(shí)器制作數(shù)字時(shí)鐘并可以實(shí)現(xiàn)時(shí)鐘的控制。2、設(shè)計(jì)要求(1)基本要求制作數(shù)字時(shí)鐘系統(tǒng);可以控制時(shí)鐘電路,P1.0選擇時(shí)、分、秒,P1.1對(duì)時(shí)、分、秒進(jìn)行自加;燈的亮滅顯示控制端,P3.7口黃燈亮表示控制時(shí),P3.3口紅燈亮表示控制分,紅燈、黃燈一起亮表示控制秒。(2)發(fā)揮部分時(shí)間精度為0.5秒。指導(dǎo)教師簽名: 2012年 月 日二、指導(dǎo)教

2、師評(píng)語(yǔ)指導(dǎo)教師簽名: 2012年 月 日三、成績(jī) 報(bào)告(70%): 分,作品(30%): 分,總分: 分。 驗(yàn)收蓋章 2012年 月 日目錄1 引言12 設(shè)計(jì)任務(wù)與要求22.1. 設(shè)計(jì)題目22.2. 設(shè)計(jì)要求23 系統(tǒng)的功能分析與設(shè)計(jì)方案33.1. 系統(tǒng)的主要功能33.2. 系統(tǒng)的設(shè)計(jì)方案33.3. 數(shù)碼管顯示工作原理43.4. 電路硬件設(shè)計(jì)53.4.1. 設(shè)計(jì)原理框圖53.4.2. 電源部分53.4.3. 復(fù)位電路63.4.4. 指示燈電路63.4.5. 按鍵電路73.4.6. 時(shí)鐘電路73.4.7. 驅(qū)動(dòng)電路83.4.8. 數(shù)碼管連接電路83.4.9. 主控模塊AT89S5293.4.10

3、. 材料清單103.4.11. 電路原理圖、PCB圖及實(shí)物圖113.5. 軟件設(shè)計(jì)133.5.1. 軟件設(shè)計(jì)流程133.5.2. 完整源程序154 系統(tǒng)安裝與調(diào)試214.1. 硬件電路的安裝214.2. 軟件調(diào)試215 課程設(shè)計(jì)總結(jié)22參考文獻(xiàn)23致謝24摘 要單片機(jī)自20世紀(jì)70年代問(wèn)世以來(lái),以其極高的性能價(jià)格比,受到人們的重視和關(guān)注,應(yīng)用很廣、發(fā)展很快。單片機(jī)體積小、重量輕、抗干擾能力強(qiáng)、環(huán)境要求不高、價(jià)格低廉、可靠性高、靈活性好、開(kāi)發(fā)較為容易。由于具有上述優(yōu)點(diǎn),在我國(guó),單片機(jī)已廣泛地應(yīng)用在工業(yè)自動(dòng)化控制、自動(dòng)檢測(cè)、智能儀器儀表、家用電器、電力電子、機(jī)電一體化設(shè)備等各個(gè)方面。這次課程設(shè)計(jì)通

4、過(guò)對(duì)它的學(xué)習(xí)、應(yīng)用,以AT89S52芯片為核心,輔以必要的電路,設(shè)計(jì)了一個(gè)簡(jiǎn)易的單片機(jī)電子時(shí)鐘,包括硬件電路原理的實(shí)現(xiàn)方案設(shè)計(jì)、軟件程序編輯的實(shí)現(xiàn)、電子時(shí)鐘正常工作的流程、硬件的制作與軟件的調(diào)試過(guò)程。電子時(shí)鐘由5.0V直流電源供電,數(shù)碼管能夠比較準(zhǔn)確顯示時(shí)間,通過(guò)按鍵能夠調(diào)整時(shí)間,從而到達(dá)學(xué)習(xí)、設(shè)計(jì)、開(kāi)發(fā)軟、硬件的能力。關(guān)鍵詞:?jiǎn)纹瑱C(jī);AT89S52;電子時(shí)鐘;數(shù)碼管;按鍵261 引言1957年,Ventura發(fā)明了世界上第一個(gè)電子表,從而奠定了電子時(shí)鐘的基礎(chǔ)。隨著時(shí)間的推移,科學(xué)技術(shù)的不斷發(fā)展,人們對(duì)時(shí)間計(jì)量的精度要求越來(lái)越高,為了讓時(shí)鐘更好的為人民服務(wù),就要求人們不斷設(shè)計(jì)出新型時(shí)鐘?,F(xiàn)代的

5、電子時(shí)鐘是基于單片機(jī)的一種計(jì)時(shí)工具,采用延時(shí)程序產(chǎn)生一定的時(shí)間中斷,用于一秒的定義,通過(guò)計(jì)數(shù)方式進(jìn)行滿六十秒分鐘加一,滿六十分小時(shí)加一,滿二十四小時(shí)小時(shí)清零,從而達(dá)到計(jì)時(shí)的功能,是人民日常生活不可缺少的工具。如今高精度的計(jì)時(shí)工具大多數(shù)都使用了石英晶體振蕩器,由于電子鐘,石英表,石英鐘都采用了石英技術(shù),因此走時(shí)精度高,穩(wěn)定性好,使用方便,不需要經(jīng)常調(diào)校,數(shù)字式電子鐘用集成電路計(jì)時(shí)時(shí),譯碼代替機(jī)械式轉(zhuǎn)動(dòng),用LED顯示器代替指針顯示進(jìn)而顯示時(shí)間,減小了計(jì)時(shí)誤差,這種表具有時(shí)、分、秒顯示時(shí)間的功能,還可以進(jìn)行時(shí)和分的校對(duì),片選的靈活性好。時(shí)鐘電路在計(jì)算機(jī)系統(tǒng)中起著非常重要的作用,是保證系統(tǒng)正常工作的基

6、礎(chǔ)。在一個(gè)單片機(jī)應(yīng)用系統(tǒng)中,時(shí)鐘有兩方面的含義:一是指為保障系統(tǒng)正常工作的基準(zhǔn)振蕩定時(shí)信號(hào),主要由晶振和外圍電路組成,晶振頻率的大小決定了單片機(jī)系統(tǒng)工作的快慢;二是指系統(tǒng)的標(biāo)準(zhǔn)定時(shí)時(shí)鐘,即定時(shí)時(shí)間,它通常有兩種實(shí)現(xiàn)方法:一是用軟件實(shí)現(xiàn),即用單片機(jī)內(nèi)部的可編程定時(shí)/計(jì)數(shù)器來(lái)實(shí)現(xiàn),但誤差很大,主要用在對(duì)時(shí)間精度要求不高的場(chǎng)合;二是用專門(mén)的時(shí)鐘芯片實(shí)現(xiàn),在對(duì)時(shí)間精度要求很高的情況下,通常采用這種方法。本文主要介紹用單片機(jī)內(nèi)部的定時(shí)/計(jì)數(shù)器來(lái)實(shí)現(xiàn)電子時(shí)鐘的方法,本設(shè)計(jì)由單片機(jī)AT89S52芯片和LED數(shù)碼管為核心,輔以必要的電路,構(gòu)成了一個(gè)單片機(jī)電子時(shí)鐘。2 設(shè)計(jì)任務(wù)與要求2.1. 設(shè)計(jì)題目利用單片機(jī)

7、定時(shí)器制作數(shù)字時(shí)鐘并可以實(shí)現(xiàn)時(shí)鐘的控制。2.2. 設(shè)計(jì)要求(1)基本要求制作數(shù)字時(shí)鐘系統(tǒng);可以控制時(shí)鐘電路,P1.0選擇時(shí)、分、秒,P1.1對(duì)時(shí)、分、秒進(jìn)行自加;燈的亮滅顯示控制端,P3.7口黃燈亮表示控制時(shí),P3.3口紅燈亮表示控制分,紅燈、黃燈一起亮表示控制秒。(2) 發(fā)揮部分時(shí)間精度為0.5秒。3 系統(tǒng)的功能分析與設(shè)計(jì)方案3.1. 系統(tǒng)的主要功能利用AT89S52單片機(jī)內(nèi)部的定時(shí)/計(jì)數(shù)器、中斷系統(tǒng)、以及行列鍵盤(pán)和LED顯示器等部件,設(shè)計(jì)一個(gè)單片機(jī)電子時(shí)鐘。設(shè)計(jì)的電子時(shí)鐘通過(guò)數(shù)碼管顯示,并能通過(guò)按鍵實(shí)現(xiàn)設(shè)置時(shí)間和復(fù)位控制等。此外還要實(shí)現(xiàn)對(duì)時(shí)間的調(diào)整功能,AT89S52的P1.0、P1.1、

8、RST外接三個(gè)獨(dú)立按鍵,當(dāng)按下P1.0按鍵時(shí),系統(tǒng)進(jìn)入調(diào)時(shí)間的狀態(tài)或啟動(dòng)時(shí)間顯示的功能;當(dāng)按下P1.1按鍵時(shí),對(duì)顯示的數(shù)碼管進(jìn)行加一的功能,達(dá)到調(diào)整時(shí)間的目的;當(dāng)按下RST按鍵時(shí),實(shí)現(xiàn)對(duì)電子時(shí)鐘進(jìn)行復(fù)位的功能。3.2. 系統(tǒng)的設(shè)計(jì)方案整個(gè)系統(tǒng)采用應(yīng)用廣泛的AT89S52作為時(shí)鐘控制芯片,利用單片機(jī)內(nèi)部的定時(shí)器計(jì)數(shù)器來(lái)實(shí)現(xiàn)的,它的處理過(guò)程如下:首先設(shè)定單片機(jī)內(nèi)部的一個(gè)定時(shí)器計(jì)數(shù)器工作于定時(shí)方式,對(duì)機(jī)器周期計(jì)數(shù)形成基準(zhǔn)時(shí)間,然后用另一個(gè)定時(shí)器計(jì)數(shù)器或軟件計(jì)數(shù)的方法對(duì)基準(zhǔn)時(shí)間計(jì)數(shù)形成秒,秒計(jì)60次形成分,分計(jì)60次形成小時(shí),小時(shí)計(jì)24次則計(jì)滿一天。然后通過(guò)數(shù)碼管把它們的內(nèi)容在相應(yīng)位置顯示出來(lái)即可。數(shù)

9、碼管顯示可以采用靜態(tài)顯示方法或動(dòng)態(tài)顯示方法。靜態(tài)顯示方法需要數(shù)據(jù)鎖存器等硬件,接口復(fù)雜,時(shí)鐘顯示一般用6個(gè)或8個(gè)數(shù)碼管。由于系統(tǒng)沒(méi)有其他的復(fù)雜的任務(wù)處理,而且顯示的時(shí)鐘信息隨時(shí)都可能變化,一般采用動(dòng)態(tài)顯示方式。動(dòng)態(tài)顯示方法線路相對(duì)簡(jiǎn)單,但需動(dòng)態(tài)掃描,掃描頻率要大于人眼視覺(jué)暫留頻率,信息看起來(lái)才穩(wěn)定。譯碼方式可分為軟件譯碼和硬件譯碼,軟件譯碼通過(guò)譯碼程序查得顯示信息的字段碼;硬件譯碼通過(guò)硬件譯碼器得到顯示信息的字段碼,實(shí)際中通常采用軟件譯碼。在具體處理時(shí),定時(shí)器計(jì)數(shù)器采用中斷方式工作,對(duì)時(shí)鐘的形成在中斷服務(wù)程序中實(shí)現(xiàn)。在主程序中只需對(duì)定時(shí)器計(jì)數(shù)器初始化、調(diào)用顯示子程序和控制子程序。另外,為了使用

10、方便,設(shè)計(jì)了簡(jiǎn)單的按鍵,可以通過(guò)按鍵實(shí)現(xiàn)時(shí)間調(diào)整和復(fù)位。3.3. 數(shù)碼管顯示工作原理數(shù)碼管是一種把多個(gè)LED顯示段集成在一起的顯示設(shè)備。有兩種類(lèi)型,一種是共陽(yáng)型,一種是共陰型。共陽(yáng)型就是把多個(gè)LED顯示段的陽(yáng)極接在一起,又稱為公共端。共陰型就是把多個(gè)LED顯示段的陰極接在一起,即為公共端。陽(yáng)極即為二極管的正極,又稱為正極,陰極即為二極管的負(fù)極,又稱為負(fù)極。通常的數(shù)碼管又分為8段,即8個(gè)LED顯示段,這是為工程應(yīng)用方便如設(shè)計(jì)的,分別為A、B、C、D、E、F、G、DP,其中DP 是小數(shù)點(diǎn)位段。而多位數(shù)碼管,除某一位的公共端會(huì)連接在一起,不同位的數(shù)碼管的相同端也會(huì)連接在一起。即,所有的A段都會(huì)連在一

11、起,其它的段也是如此,這是實(shí)際最常用的用法。數(shù)碼管顯示方法可分為靜態(tài)顯示和動(dòng)態(tài)顯示兩種。靜態(tài)顯示:所謂靜態(tài)顯示,就是當(dāng)顯示器顯示某一字符時(shí),相應(yīng)的發(fā)光二極管恒定的導(dǎo)通或截止。該方式每一位都需要一個(gè)8 位輸出口控制。靜態(tài)顯示時(shí)較小的電流能獲得較高的亮度,且字符不閃爍。但當(dāng)所顯示的位數(shù)較多時(shí),靜態(tài)顯示所需的I/O口太多,造成了資源的浪費(fèi)。動(dòng)態(tài)顯示:所謂動(dòng)態(tài)顯示,就是一位一位的輪流點(diǎn)亮各個(gè)位,對(duì)于顯示器的每一位來(lái)說(shuō),每隔一段時(shí)間點(diǎn)亮一次。利用人的視覺(jué)暫留功能可以看到整個(gè)顯示,但必須保證掃描速度足夠快,字符才不閃爍。顯示器的亮度既與導(dǎo)通電流有關(guān),也于點(diǎn)亮?xí)r間與間隔時(shí)間的比例有關(guān)。調(diào)整參數(shù)可以實(shí)現(xiàn)較高穩(wěn)

12、定度的顯示。動(dòng)態(tài)顯示節(jié)省了I/O口,降低了能耗。從節(jié)省I/O口和降低能耗出發(fā),本設(shè)計(jì)采用動(dòng)態(tài)顯示。3.4. 電路硬件設(shè)計(jì)3.4.1. 設(shè)計(jì)原理框圖此設(shè)計(jì)原理框圖如圖1所示,此電路包括以下六個(gè)部分:?jiǎn)纹瑱C(jī),按鍵,指示燈,復(fù)位電路,晶振及顯示電路。按鍵單片機(jī)顯示電路指示燈晶振復(fù)位電路圖1設(shè)計(jì)原理框圖3.4.2. 電源部分如圖2所示,從外部引入5.0V的直流電,為單片機(jī)、復(fù)位電路等提供電源。圖2電源部分3.4.3. 復(fù)位電路如圖3所示,復(fù)位電路采用上電自動(dòng)復(fù)位和按鍵手動(dòng)復(fù)位設(shè)計(jì)在一起,主要由型號(hào)為10uF的電解電容,8.2K和220的電阻以及按鍵S3構(gòu)成,當(dāng)開(kāi)關(guān)按下時(shí)引腳RST為高電平1,斷開(kāi)時(shí)引腳

13、為低電平0。圖3復(fù)位電路3.4.4. 指示燈電路如圖4所示,指示燈電路主要由兩個(gè)發(fā)光二極管組成,端口低電平有效。燈的亮滅顯示控制端,P3.7口黃燈亮表示控制時(shí),P3.3口紅燈亮表示控制分,紅燈、黃燈一起亮表示控制秒。圖4指示燈電路3.4.5. 按鍵電路如圖5所示,按鍵開(kāi)關(guān)S1、S2分別接P1.0、P1.1端,S1選擇時(shí)、分、秒,S2對(duì)時(shí)、分、秒進(jìn)行自加,低電平有效。圖5按鍵電路3.4.6. 時(shí)鐘電路如圖6所示,單片機(jī)外接石英晶體和微調(diào)電容實(shí)現(xiàn)了使用其內(nèi)部時(shí)鐘產(chǎn)生的時(shí)鐘脈沖,其中晶振為12M,電容均為30pF無(wú)極性電容。圖6時(shí)鐘電路3.4.7. 驅(qū)動(dòng)電路如圖7所示,從實(shí)物制作簡(jiǎn)易程度與驅(qū)動(dòng)數(shù)碼管

14、段碼能力角度出發(fā),本數(shù)字電子鐘設(shè)計(jì)采用數(shù)碼管位選端與三極管集電極相連,三極管發(fā)射極接5V直流電源,三極管基極與單片機(jī)芯片P0口,數(shù)碼管段選端與P2口相連。通過(guò)編程,單片機(jī)芯片即可通過(guò)控制端口電平來(lái)控制數(shù)碼管位、段的控制。圖7驅(qū)動(dòng)電路3.4.8. 數(shù)碼管連接電路圖8為三位一體數(shù)碼管的引腳功能圖,數(shù)碼管引腳與單片機(jī)芯片引腳和三極管對(duì)應(yīng)相接。數(shù)字電子鐘的顯示模塊用2個(gè)三位一體數(shù)碼管實(shí)現(xiàn),數(shù)碼管從左到右依次顯示時(shí)十位、個(gè)位,分十位、個(gè)位,秒十位和個(gè)位,采用軟件譯碼動(dòng)態(tài)顯示。圖8數(shù)碼管連接電路3.4.9. 主控模塊AT89S52AT89S52是美國(guó)ATMEL公司生產(chǎn)的低功耗,高性能CMOS八位單片機(jī),片

15、內(nèi)ROM全部采用FLASH ROM技術(shù),片內(nèi)含4K bytes的可系統(tǒng)編程的Flash只讀程序存儲(chǔ)器,器件采用ATMEL公司的高密度,非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)8051指令系統(tǒng)及引腳。AT89S52提供以下標(biāo)準(zhǔn)功能:4K字節(jié)Flash閃速存儲(chǔ)器,128字節(jié)內(nèi)部RAM,4個(gè)8位的并行I/O端口,看門(mén)狗(WDT),兩個(gè)數(shù)據(jù)指針,兩個(gè)16位定時(shí)/計(jì)數(shù)器,一個(gè)5向量?jī)杉?jí)中斷結(jié)構(gòu),一個(gè)全雙工串行通信口,片內(nèi)振蕩器及時(shí)鐘電路。它是標(biāo)準(zhǔn)的40引腳雙列直插式集成電路芯片,晶振時(shí)鐘為12MHz,第31引腳需要接高電位使單片機(jī)選用內(nèi)部程序存儲(chǔ)器;第40腳為電源端VCC,接5V電源,第20引腳為接地端GND。3

16、.4.10. 材料清單Bill of Material for 電子鐘原理圖.BomUsed Part Type Designator Footprint= = = =6 4.7k R1 R2 R3 AXIAL0.4 R4 R5 R61 8.2k R9 AXIAL0.41 10uF C1 RB.2/.41 12MHz Y1 XTAL12 30pF C2 C3 RAD0.22 220 R7 R8 AXIAL0.42 ARK AR1 AR2 SP410361K1 AT89S52 U1 DIP401 CON2 J1 SIP21 HEADER 5X2 JP1 DIP101 RED LED2 DIODE

17、0.46 S8550 Q1 Q2 Q3 TO-92B Q4 Q5 Q63 SW-PB S1 S2 S3 SW1 YELLOW LED1 DIODE0.43.4.11. 電路原理圖、PCB圖及實(shí)物圖圖9為單片機(jī)電子時(shí)鐘的電路原理圖,圖10為其PCB圖,圖11為實(shí)物圖。圖9電路原理圖圖10 PCB圖圖11實(shí)物圖3.5. 軟件設(shè)計(jì)3.5.1. 軟件設(shè)計(jì)流程電子時(shí)鐘的軟件系統(tǒng)由主程序和子程序組成,主程序程序包含初始化參數(shù)設(shè)置、按鍵處理、數(shù)碼管顯示模塊等,(1)主程序主程序先對(duì)顯示單元和定時(shí)器/計(jì)數(shù)器初始化,然后重復(fù)調(diào)用數(shù)碼管顯示模塊和按鍵處理模塊,當(dāng)有鍵按下,則轉(zhuǎn)入相應(yīng)的功能程序。主程序執(zhí)行流程如圖1

18、2。圖12主程序流程圖(2)定時(shí)器/計(jì)數(shù)器T0中斷程序定時(shí)器/計(jì)數(shù)器T0用于時(shí)間計(jì)時(shí)。選擇方式1,重復(fù)定時(shí),定時(shí)時(shí)間設(shè)為20ms,定時(shí)時(shí)間到則中斷,在中斷程序中用一個(gè)計(jì)數(shù)器對(duì)20ms計(jì)數(shù),計(jì)50次則對(duì)秒單元加1,秒單元加到60則對(duì)分單元加1,同時(shí)秒單元清0;分單元加到60則對(duì)時(shí)單元加1,同時(shí)分單元清0;時(shí)單元加到24則對(duì)時(shí)單元清0,標(biāo)志一天時(shí)間計(jì)滿。在對(duì)各單元計(jì)數(shù)的同時(shí),把它們的值放到存儲(chǔ)單元的指定位置。定時(shí)器/計(jì)數(shù)器T0中斷程序流程圖如圖13。圖13中斷程序流程圖3.5.2. 完整源程序#include #define uchar unsigned char#define uint unsi

19、gned intvoid KeyHandle(void); /*按鍵處理模塊*/void Delay (); /*10ms延時(shí)*/void DispClock();uchar Control6=0xdf,0xef,0xf7,0xfb,0xfd,0xfe;/數(shù)碼管控制選通uchar DisplayArray6=0x00,0x00,0x00,0x00,0x00,0x00;/中間存儲(chǔ)變量uchar code CodeNum16=0x28,0xEB,0x32,0xA2,0xE1,0xA4,0x24,0xE8,0x20,0xA0;/0-f段碼 uchar Keyflag=0;/*按鍵處理標(biāo)記*/ucha

20、r SetFlag=0;/=0,Normal;=1,調(diào)秒; =2,調(diào)分;=3,調(diào)時(shí);uchar Msecond,Second,Minite,Hour;sbit P1_0 = P10;sbit P1_1 = P11;sbit P3_7 = P37;sbit P3_3 = P33;void main(void) EA=1; ET0=1; ET1=1; P1_1=1; P3_7=1; P3_3=1; TMOD = 0x11; TH0=(65536-20000)/256; TL0=(65536-20000)%256; /設(shè)定時(shí)值為20ms TH1=(65536-500)/256; TL1=(65536

21、-500)%256; /設(shè)定時(shí)值為500us TR0=1; TR1=1; /開(kāi)始定時(shí) for(;)/while(1) DispClock(); KeyHandle(); void Time0(void) interrupt 1 TH0=(65536-20000)/256; TL0=(65536-20000)%256; Msecond+; if(Msecond=50) Msecond=0;Second+;if(Second=60) Second=0; Minite+; if(Minite=60) Minite=0; Hour+; if(Hour=24) Hour=0; void Time1(vo

22、id) interrupt 3 static uchar s_count=0;/變量定義在其它語(yǔ)句之前,keil c(非C語(yǔ)言規(guī)則) uchar temp; TH1=(65536-500)/256; TL1=(65536-500)%256; /設(shè)定時(shí)值為500us P0=Controls_count; temp=DisplayArrays_count; P2=CodeNumtemp; s_count+; if(s_count5) s_count=0; void KeyHandle(void) /*按鍵處理*/ P1_0=1; if(P1_0=0) Delay(); if(P1_0=0) Set

23、Flag+; if(SetFlag3) SetFlag=0; switch (SetFlag) case 1: P3_7 = 0; P3_3 = 0; break; case 2: P3_7 = 1; P3_3 = 0; break; case 3: P3_7 = 0; P3_3 = 1; break; default: P3_7 = 1; P3_3 = 1; break; P1_0=1; /防止按鍵不放 while(P1_0&0x01)!=0x01); /加法處理 P1_1=1; if(P1_1=0) Delay(); if(P1_1=0) switch (SetFlag) case 1:

24、Second+; if(Second=60) Second=0; break; case 2: Minite+; if(Minite=60) Minite=0; break; case 3: Hour+; if(Hour=24) Hour=0;break; default:break; P1_1=1; /防止按鍵不放 while(P1_1&0x01)!=0x01); void DispClock() uchar tempData=0; tempData=Second;/秒鐘分解 DisplayArray0=tempData%10; DisplayArray1=tempData/10; temp

25、Data=Minite;/分鐘分解 DisplayArray2=tempData%10; DisplayArray3=tempData/10; tempData=Hour;/小時(shí)分解 DisplayArray4=tempData%10; DisplayArray5=tempData/10;void Delay()/10ms延時(shí)uchar i,j;for(i=20;i0;i-)for(j=249;j0;j-);4 系統(tǒng)安裝與調(diào)試4.1. 硬件電路的安裝按照電路PCB圖把元器件安裝到已腐蝕好的銅板對(duì)應(yīng)的位置,再用電烙鐵和焊錫將元器件各引腳焊接好。注意事項(xiàng):(1)元器件的布局在合理的前提下應(yīng)盡量集中

26、,盡量使用單面板,雙面板頂層走線要盡量少,各個(gè)元器件間引腳的連線應(yīng)盡量短。(2)各個(gè)元器件引腳的安裝要正確,焊接時(shí)不要有虛焊。4.2. 軟件調(diào)試 將電路板接上5V直流電源,再將用程序調(diào)試軟件Keil編譯好的目標(biāo)程序代碼下載到單片機(jī)芯片AT89S52,即開(kāi)始進(jìn)行軟件的調(diào)試工作。如果顯示結(jié)果不符合設(shè)計(jì)要求,先檢查電路各連接點(diǎn)是否正確連接、是否有虛焊,硬件無(wú)問(wèn)題再檢查程序代碼是否符合硬件電路的設(shè)計(jì),再進(jìn)行硬件電路的調(diào)試工作。如此反復(fù)操作,直到調(diào)試出正確結(jié)果。5 課程設(shè)計(jì)總結(jié)經(jīng)過(guò)幾周時(shí)間以及對(duì)單片機(jī)的知識(shí)總結(jié),把課程設(shè)計(jì)分成了硬件和軟件兩大模塊。總的來(lái)說(shuō),硬件部分很好入手,電路也較簡(jiǎn)單,主要涉及的是簡(jiǎn)

27、單的按鍵、電容、電阻、晶振和數(shù)碼管。整個(gè)設(shè)計(jì)過(guò)程中遇到的最大問(wèn)題是軟件的編寫(xiě),軟件部分細(xì)分為了按鍵模塊、定時(shí)/計(jì)數(shù)模塊、顯示模塊,最后把幾個(gè)模塊整合在主程序模塊中,最終實(shí)現(xiàn)了電子時(shí)鐘的功能。在此次課程設(shè)計(jì)過(guò)程中,將在課程中學(xué)到的理論知識(shí)運(yùn)用到實(shí)際作品設(shè)計(jì)、操作中,更進(jìn)一步地熟悉了單片機(jī)芯片的結(jié)構(gòu)及掌握了其工作原理和具體的使用方法與相關(guān)元器件的參數(shù)計(jì)算方法、使用方法,了解了電路的開(kāi)發(fā)和制作及課程設(shè)計(jì)報(bào)告的編寫(xiě)。加深了對(duì)相關(guān)理論知識(shí)及專業(yè)知識(shí)的掌握度,增強(qiáng)自身的動(dòng)手能力,鍛煉及提高了理解問(wèn)題、分析問(wèn)題、解決問(wèn)題的能力,更深刻的體會(huì)到了理論聯(lián)系實(shí)際的重要性,進(jìn)一步掌握畫(huà)圖軟件的使用和提高相應(yīng)的畫(huà)圖操

28、作水平及技巧。在整個(gè)設(shè)計(jì)過(guò)程中還學(xué)到了團(tuán)體合作精神和分析、解決問(wèn)題的重要性,為以后的求職之路打下了基礎(chǔ)。參考文獻(xiàn)1 黃正謹(jǐn)綜合電子設(shè)計(jì)與實(shí)踐M東南大學(xué)出版社2002-32 夏路易,石宗義電路原理圖與電路設(shè)計(jì)教程Protel 99SEM 北京希望電子出版社20023謝嘉奎電子線路M高等教育出版社2003-24 王毓銀數(shù)字電路邏輯設(shè)計(jì)M高等教育出版社2004-25 李光才單片機(jī)課程設(shè)計(jì)實(shí)例指導(dǎo)M 北京:北京航空航天大學(xué)出版社 20046 楊欣等電子設(shè)計(jì)從零開(kāi)始M清華大學(xué)出版社2005-107 劉湘濤,江世明單片機(jī)原理與應(yīng)用M. 北京:電子工業(yè)出版社,2006致謝本課程設(shè)計(jì)能夠順利完成,是因?yàn)橛懈髦笇?dǎo)老師的耐心指導(dǎo)、講解和同學(xué)的熱心幫助和支持。在此,衷心感謝在本次課程設(shè)計(jì)過(guò)程中指點(diǎn)和幫助我的各指導(dǎo)老師和同學(xué)!這與父母多年來(lái)一如既往的支持和關(guān)懷是分不開(kāi)的。在此,向任勞任怨、含辛茹苦的父母致以衷心的感謝!感謝學(xué)院為我們提供了齊全的課程設(shè)計(jì)儀器設(shè)備以及良好的學(xué)習(xí)環(huán)境。

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!