九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

直流電動機PWM 控制系統(tǒng)設(shè)計

上傳人:仙*** 文檔編號:29695065 上傳時間:2021-10-08 格式:DOC 頁數(shù):31 大?。?.88MB
收藏 版權(quán)申訴 舉報 下載
直流電動機PWM 控制系統(tǒng)設(shè)計_第1頁
第1頁 / 共31頁
直流電動機PWM 控制系統(tǒng)設(shè)計_第2頁
第2頁 / 共31頁
直流電動機PWM 控制系統(tǒng)設(shè)計_第3頁
第3頁 / 共31頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《直流電動機PWM 控制系統(tǒng)設(shè)計》由會員分享,可在線閱讀,更多相關(guān)《直流電動機PWM 控制系統(tǒng)設(shè)計(31頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、直流電動機PWM控制系統(tǒng)設(shè)計 摘要 直流電機由于具有速度控制容易,啟、制動性能良好,且在寬范圍內(nèi)平滑調(diào)速等特點而在冶金、機械制造、輕工等工業(yè)部門中得到廣泛應(yīng)用。直流電動機轉(zhuǎn)速的控制方法可分為兩類,即勵磁控制法與電樞電壓控制法。勵磁控制法控制磁通,其控制功率雖然小,但低速時受到磁飽和的限制,高速時受到換向火花和換向器結(jié)構(gòu)強度的限制;而且由于勵磁線圈電感較大,動態(tài)響應(yīng)較差。所以常用的控制方法是改變電樞端電壓調(diào)速的電樞電壓控制法。調(diào)節(jié)電阻R即可改變端電壓,達到調(diào)速目的。但這種傳統(tǒng)的調(diào)壓調(diào)速方法效率低。隨著電力電子技術(shù)的進步,發(fā)展了許多新的電樞電壓控制方法,其中PWM(脈寬調(diào)制)是常用的一種調(diào)速方法。

2、其基本原理是用改變電機電樞(定子)電壓的接通和斷開的時間比(占空比)來控制馬達的速度,在脈寬調(diào)速系統(tǒng)中,當(dāng)電機通電時,其速度增加;電機斷電時,其速度減低。脈沖寬度調(diào)制PWM(Pulse Width Modulation),就是指保持開關(guān)周期T不變,調(diào)節(jié)開關(guān)導(dǎo)通時間t對脈沖的寬度進行調(diào)制的技術(shù)。PWM控制技術(shù)以其控制簡單,靈活和動態(tài)響應(yīng)好的優(yōu)點而成為電力電子技術(shù)等領(lǐng)域最廣泛應(yīng)用的控制方式。本文利用SG1525集成PWM控制器設(shè)計了一個基于PWM控制的直流調(diào)速系統(tǒng),本系統(tǒng)采用了電流轉(zhuǎn)速雙閉環(huán)控制,并且設(shè)計了完善的保護措施,既保障了系統(tǒng)的可靠運行,又使系統(tǒng)具有較高的動、靜態(tài)性能。 只要按照一定的規(guī)律

3、改變通、斷電的時間,即可使電機的速度達到并保持以穩(wěn)定值。最近幾年來,隨著微電子技術(shù)和計算機技術(shù)的發(fā)展及單片機的廣泛應(yīng)用,使調(diào)速裝置向集成化、小型化和智能化方向發(fā)展。 本電機調(diào)速系統(tǒng)采用脈寬調(diào)制方式,與晶閘管調(diào)速相比技術(shù)先進可減少對電源的污染。為使整個系統(tǒng)能正常安全地運行, 過流、過載、過壓、欠壓保護電路, 另外還有過壓吸收電路。確保了系統(tǒng)可靠運行。關(guān)鍵詞:脈沖寬度調(diào)制,開關(guān),直流調(diào)速系統(tǒng),雙閉環(huán)控制1系統(tǒng)內(nèi)容簡介 直流電機由于具有速度控制容易,啟、制動性能良好,且在寬范圍內(nèi)平滑調(diào)速等特點而在冶金、機械制造、輕工等工業(yè)部門中得到廣泛應(yīng)用。直流電動機轉(zhuǎn)速的控制方法可分為兩類,即勵磁控制法與電樞電壓

4、控制法。勵磁控制法控制磁通,其控制功率雖然小,但低速時受到磁飽和的限制,高速時受到換向火花和換向器結(jié)構(gòu)強度的限制;而且由于勵磁線圈電感較大,動態(tài)響應(yīng)較差。所以常用的控制方法是改變電樞端電壓調(diào)速的電樞電壓控制法。調(diào)節(jié)電阻R即可改變端電壓,達到調(diào)速目的。但這種傳統(tǒng)的調(diào)壓調(diào)速方法效率低。隨著電力電子技術(shù)的進步,發(fā)展了許多新的電樞電壓控制方法,其中PWM(脈寬調(diào)制)是常用的一種調(diào)速方法。其基本原理是用改變電機電樞(定子)電壓的接通和斷開的時間比(占空比)來控制馬達的速度,在脈寬調(diào)速系統(tǒng)中,當(dāng)電機通電時,其速度增加;電機斷電時,其速度減低。只要按照一定的規(guī)律改變通、斷電的時間,即可使電機的速度達到并保持

5、一穩(wěn)定值。利用數(shù)字輸出對模擬電路進行控制的一種有效技術(shù),尤其是在對電機的轉(zhuǎn)速控制方面,可大大節(jié)省能量。 PWM 具有很強的抗噪性,且有節(jié)約空間、比較經(jīng)濟等特點。模擬控制電路有以下缺陷:模擬電路容易隨時間漂移,會產(chǎn)生一些不必要的熱損耗,以及對噪聲敏感等。而在用了PWM技術(shù)后,避免了以上的缺陷,實現(xiàn)了用數(shù)字方式來控制模擬信號,可以大幅度降低成本和功耗。 1.1 PWM調(diào)速方案的優(yōu)越性 自從全控型電力電子器件問世以后,就出現(xiàn)了采用脈沖寬度調(diào)制的高頻開關(guān)控制方式,形成了脈寬調(diào)制變換器直流電機調(diào)速系統(tǒng),PWM的H型屬于調(diào)壓調(diào)速,PWM的H橋能實現(xiàn)大功率調(diào)速;國內(nèi)的超大功率調(diào)速還要依靠可控硅實現(xiàn)可控整流來

6、實現(xiàn)直流電機的調(diào)壓調(diào)速。本設(shè)計采用直流極式控制的橋式PWM變換器。與V-M系統(tǒng)相比在很多方面有較大的優(yōu)越性:1)主電路線路簡單,需用的功率器件少。2)開關(guān)頻率高,電流容易連續(xù),諧波少,電極損耗及發(fā)熱都較小。3)低速性能好,穩(wěn)態(tài)精度高,調(diào)速范圍寬,可達1:20000左右。4)若是與快速響應(yīng)的電機配合,則系統(tǒng)頻帶寬,動態(tài)響應(yīng)快,動態(tài)抗干擾能力強。5)功率開關(guān)器件工作在開關(guān)狀態(tài),通道損耗小,當(dāng)開關(guān)頻率適中時,關(guān)損耗也不大,因而裝置效率高。6)直流電機采用不控整流時,電網(wǎng)功率因素比相控整流器高。由于由以上優(yōu)點直流PWM系統(tǒng)應(yīng)用日益廣泛,特別在中、小容量的高動態(tài)性能中。已完全取代了V-M系統(tǒng)。為達到更好

7、的機械特性要求,一般直流電動機都是在閉環(huán)控制下運行。經(jīng)常采用的閉環(huán)系統(tǒng)有轉(zhuǎn)速負反饋和電流截至負反饋。 1.2、直流電機PWM調(diào)速基本原理 PWM方式是在大功率開關(guān)晶體管的基極上,加上脈沖寬度可調(diào)的方波電壓,控制開關(guān)管的導(dǎo)通時間t,改變占空比,達到控制目的。圖1是直流PWM系統(tǒng)原理框圖。這是一個雙閉環(huán)系統(tǒng),有電流環(huán)和速度環(huán)。在此系統(tǒng)中有兩個調(diào)節(jié)器,分別調(diào)節(jié)轉(zhuǎn)速和電流,二者之間實行串級連接,即以轉(zhuǎn)速調(diào)節(jié)器的輸出作為電流調(diào)節(jié)器的輸入,再用電流調(diào)節(jié)器的輸出作為PWM的控制電壓。核心部分是脈沖功率放大器和脈寬調(diào)制器??刂撇糠植捎肧G1525(脈寬調(diào)制芯片SG1525具有欠壓鎖定、故障關(guān)閉和軟起動等功能,

8、因而在中小功率電源和電機調(diào)速等方面應(yīng)用較廣泛。SG1525是電壓型控制芯片,利用電壓反饋的方法控制PWM信號的占空比,整個電路成為雙極點系統(tǒng)的控制問題,簡化了補償網(wǎng)絡(luò)的設(shè)計。)集成控制器產(chǎn)生兩路互補的PWM脈沖波形,通過調(diào)節(jié)這兩路波形的寬度來控制H電路中的GTR通斷時間,便能夠?qū)崿F(xiàn)對電機速度的控制。為了獲得良好的動、靜態(tài)品質(zhì),調(diào)節(jié)器采用PI調(diào)節(jié)器并對系統(tǒng)進行了校正。檢測部分中,采用了霍爾片式電流檢測裝置對電流環(huán)進行檢測,轉(zhuǎn)速還則是采用了測速電機進行檢測,能達到比較理想的檢測效果。 2.系統(tǒng)概述2.1 系統(tǒng)構(gòu)成 本系統(tǒng)主要有信號發(fā)生電路、PWM速度控制電路、電機驅(qū)動電路等幾部分組成。整9 個系統(tǒng)

9、上采用了轉(zhuǎn)速、電流雙閉環(huán)控制結(jié)構(gòu),如圖1所示。在系統(tǒng)中設(shè)置兩個調(diào)節(jié)器,分別調(diào)節(jié)轉(zhuǎn)速和電流,二者之間實行串級連接,即以轉(zhuǎn)速調(diào)節(jié)器的輸出作為電流調(diào)節(jié)器的輸入,再用電流調(diào)節(jié)器的輸出作為PWM的控制電壓。從閉環(huán)反饋結(jié)構(gòu)上看,電流調(diào)節(jié)環(huán)在里面,是內(nèi)環(huán),按典型型系統(tǒng)設(shè)計;轉(zhuǎn)速調(diào)節(jié)環(huán)在外面,成為外環(huán),按典型型系統(tǒng)設(shè)計。為了獲得良好的動、靜態(tài)品質(zhì),調(diào)節(jié)器均采用PI調(diào)節(jié)器并對系統(tǒng)進行了校正。檢測部分中,采用了霍爾片式電流檢測裝置對電流環(huán)進行檢測,轉(zhuǎn)速還則是采用了測速電機進行檢測,達到了比較理想的檢測效果。主電路部分采用了以GTR為可控開關(guān)元件、H橋電路為功率放大電路所構(gòu)成的電路結(jié)構(gòu)。 PWM方式是在大功率開關(guān)晶

10、體管的基極上,加上脈沖寬度可調(diào)的方波電壓,控制開關(guān)管的導(dǎo)通時間t,改變占空比,達到控制目的。圖1是直流PWM系統(tǒng)原理框圖。這是一個雙閉環(huán)系統(tǒng),有電流環(huán)和速度環(huán)。核心部分是脈沖功率放大器和脈寬調(diào)制器??刂撇糠植捎肧G1525集成控制器產(chǎn)生兩路互補的PWM脈沖波形,通過調(diào)節(jié)這兩路波形的寬度來控制H電路中的GTR通斷時間,便能夠?qū)崿F(xiàn)對電機速度的控制。圖1 直流電動機PWM系統(tǒng)原理圖圖2 控制電路的原理圖 圖2為控制電路的原理圖。圖中,V為大功率晶體管,C1、R1、VD1為過電壓吸收電路。由SG1525集成PWM控制器產(chǎn)生的PWM信號,經(jīng)驅(qū)動電路隔離放大后,驅(qū)動晶體管。輸出的PWM電壓平均值按下式變化

11、,其中的值由SG1525定頻調(diào)寬法,即T1+T2=T保持一定,使T1在0T范圍內(nèi)變化來調(diào)節(jié)。 系統(tǒng)的直流主回路電源VD,經(jīng)三相橋式不可控整流濾波電路供電。當(dāng)被流電機的額定功率較小時,VD 也可由單相橋式不可控整流濾波電路供電。系統(tǒng)由主開關(guān)器件V的PWM 斬波渡控制,在電感L左端形成主控回路的PWM脈寬可調(diào)控電壓Ua ,Ua 再經(jīng) LC濾波得到直流電機兩端的平直直流電壓Va PWM驅(qū)動裝置是利用大功率晶體管的開關(guān)特性來調(diào)制固定電壓的直流電源,按一個固定的頻率來接通和斷開,并根據(jù)需要改變一個周期內(nèi)“接通”與“斷開”時間的長短,通過改變11直流伺服電動機電樞上電壓的“占比空”來改變平均電壓的大小,從

12、而控制電動機的轉(zhuǎn)速。因此,這種裝置又稱為“開關(guān)驅(qū)動裝置”。 2.2直流電動機的脈寬調(diào)制的工作原理 直流無刷電機由電動機、轉(zhuǎn)子位置傳感器和電子開關(guān)線路三部分組成。直流電源通過開關(guān)線路向電動機定子繞組供電,電動機轉(zhuǎn)子位置由位置傳感器檢測并提供信號去觸發(fā)開關(guān)線路中的功率開關(guān)元件使之導(dǎo)通或截止,從而控制電動機的轉(zhuǎn)動。在應(yīng)用實例中,磁極旋轉(zhuǎn),電樞靜止,電樞繞組里的電流換向借助于位置傳感器和電子開關(guān)電路來實現(xiàn)。電機的電樞繞組作成三相,轉(zhuǎn)子由永磁材料制成,與轉(zhuǎn)子軸相連的位置傳感器采用霍爾傳感器。3600范圍內(nèi),兩兩相差1200安裝,共安裝三個。為了提高電機的特性,電機采用二相導(dǎo)通星形三相六狀態(tài)的工作方式。開

13、關(guān)電路采用三相橋式接線方式。 PWM驅(qū)動裝置是利用大功率晶體管的開關(guān)特性來調(diào)制固定電壓的直流電源,按一個固定的頻率來接通和斷開,并根據(jù)需要改變一個周期內(nèi)“接通”與“斷開”時間的長短,通過改變直流伺服電動機電樞上電壓的“占比空”來改變平均電壓的大小,從而控制電動機的轉(zhuǎn)速。因此,這種裝置又稱為“開關(guān)驅(qū)動裝置”。 PWM控制的示意圖如圖2所示,可控開關(guān)S以一定的時間間隔重復(fù)地接通和斷開,當(dāng)S接通時,供電電源US通過開關(guān)S施加到電動機兩端,電源向電機提供能量,電動機儲能;當(dāng)開關(guān)S斷開時,中斷了供電電源US向電動機電流繼續(xù)流通。圖3 PWM控制示意圖 電壓平均值Uas可用下式表示: Uas= tonUs

14、/T=Us (1-1)式中,ton為開關(guān)每次接通的時間,T為開關(guān)通斷的工作周期,(即開關(guān)接通時間ton和關(guān)12 斷時間toff之和),為占空比,= ton/T。 由式(1-1)可見,改變開關(guān)接通時間ton和開關(guān)周期T的比例也即改變脈沖的占空比,電動機兩端電壓的平均值也隨之改變,因而電動機轉(zhuǎn)速得到了控制。23 主回路 在系統(tǒng)主電路部分,采用的是以大功率GTR為開關(guān)元件、H橋電路為功率放大電路所構(gòu)成的電路結(jié)構(gòu)。如圖2所示。圖中,四只GTR分為兩組,1VT和4VT為一組,2VT和3VT為另一組。同一組中的兩只GTR同時導(dǎo)通,同時關(guān)斷,且兩組晶體管之間可以是交替的導(dǎo)通和關(guān)斷。欲使電動機M向正方向轉(zhuǎn)動,

15、則要求控制電壓kU為正,各三極管基極電壓波形如圖3所示。欲使電動機反轉(zhuǎn),則使控制電壓kU為負即可2。GTR是一種雙極性大功率高反壓晶體管,它大多用作功率開關(guān)使用,而且 GTR是一種具有自關(guān)斷能力的全控型電力半導(dǎo)體器件,這一特性可以使各類變流電路的控制更加方便和靈活,線路結(jié)構(gòu)大為簡化。圖4雙極式H型PWM變換電路圖5 雙極式PWM變換電路的電壓、電流波形 (a),(b) 三極管基極電壓波形(c) 電樞電壓波形(d)電樞電流波形(e) 重負載時ai波形(f) ESU時ai波形 設(shè)矩形波的周期為T,正向脈沖寬度為1t,并設(shè)=1t/T為占空比。則電樞電壓U的平均值avU=(2-1)SU=(21t/T-

16、1)SU,并定義雙極性雙極式脈寬放大器的負載電壓系數(shù)為 =avU/SU=21t/T-1即 avU=SU可見,可在-1到+1之間變化。 雙極式PWM變換器的優(yōu)點:1、電流一定連續(xù);2、可使電機在四象限中運行;3、電動機停止時有微振電流,能消除正、反向時的靜摩擦死區(qū);4、低速時,每個晶體管的驅(qū)動脈沖仍較寬,有利于保證晶體管可靠導(dǎo)通;5、低速平穩(wěn)性好,低速范圍可達20000左右。a).正向電動運行波形 b).反向電動運行波形3單元電路設(shè)計 31 轉(zhuǎn)速、電流雙閉環(huán)調(diào)節(jié)電路311電路原理 在雙閉環(huán)直流調(diào)速系統(tǒng)中設(shè)置了兩個調(diào)節(jié)器,轉(zhuǎn)速調(diào)節(jié)器的輸出當(dāng)作電流調(diào)節(jié)器的輸入,電流調(diào)節(jié)器的輸出控制晶閘管整流器的觸發(fā)

17、裝置。電流調(diào)節(jié)器在里面稱作內(nèi)環(huán),轉(zhuǎn)速調(diào)節(jié)器在外面稱作外環(huán),這樣就形成轉(zhuǎn)速、電流雙閉環(huán)調(diào)速系統(tǒng)。雙閉環(huán)直流調(diào)速系統(tǒng)原理圖如圖7所示。檢測部分中,采用了霍爾片式電流檢測裝置對電流環(huán)進行檢測,轉(zhuǎn)速 15 則是采用了測速電機進行檢測。 為了獲得良好的靜、動態(tài)性能,轉(zhuǎn)速和電流兩個調(diào)節(jié)器都采用PI 調(diào)節(jié)器。PI調(diào)節(jié)器的輸出由兩部分組成,第一部分是比例部分,第二部分是積分部分。把比例運算電路和積分電路組合起來就構(gòu)成了比例積分調(diào)節(jié)器,如圖6所示??芍猆O=-I1R1-R0C1 1 Uidt I1=I0=Ui/R0 U0=-R1Ui/R0- R0C1/1Uidt 當(dāng)突加輸入信號Ui時,開始瞬間電容C1相當(dāng)于短路

18、,反饋回路中只有電阻R1,此時相當(dāng)于比例調(diào)節(jié)器,它可以毫無延遲地起調(diào)節(jié)作用,故調(diào)節(jié)速度快;而后隨著電容C1被充電而開始積分,U0線性增長,直到穩(wěn)態(tài)。圖6 PI調(diào)節(jié)器電路 轉(zhuǎn)速調(diào)節(jié)器是調(diào)速系統(tǒng)的主導(dǎo)調(diào)節(jié)器,它使轉(zhuǎn)速跟隨其給定電壓變化,穩(wěn)態(tài)時實現(xiàn)轉(zhuǎn)速無靜差,對負載變化起抗擾作用,其輸出限幅值決定電機允許的最大電流。電流調(diào)節(jié)器使電流緊緊跟隨其給定電壓變化,對電網(wǎng)電壓的波動起及時抗擾作用,在轉(zhuǎn)速動態(tài)過程中能夠獲得電動機允許的最大電流,從而加快動態(tài)過程,當(dāng)電機過載甚至堵轉(zhuǎn)時,限制電樞電流的最大值,起快速的自動保護作用。一旦故障消失,系統(tǒng)立即自動恢復(fù)正常。 圖7轉(zhuǎn)速、電流調(diào)節(jié)電路圖 ASR轉(zhuǎn)速調(diào)節(jié)器ACR

19、電流調(diào)節(jié)器GT觸發(fā)裝置M 直流電動機TG測速發(fā)電機TA電流互感器UPE-電力電子變換器Un*-轉(zhuǎn)速給定電壓Un-轉(zhuǎn)速反饋電壓Ui*-電流給電壓Ui-電流反饋電壓 圖中,來自速度給定電位器給定的信號Un*與速度反饋信號Un比較后,偏差為Un= Un*-Un,送到速度調(diào)節(jié)器ASR的輸入端。速度調(diào)節(jié)器的輸出Ui*作為電流調(diào)節(jié)器ACR的給定信號,與電流反饋信號Ui比較后,偏差為Un= Ui*-Ui,送到電流調(diào)節(jié)器ACR的輸入端,電流調(diào)節(jié)器的輸出Uct送到觸發(fā)器,以控制可控整流器,整流器為電動機提供直流電壓Ud.。 3.2 PWM驅(qū)動裝置控制電路 PWM kHz圖6為PWM驅(qū)動裝置控制電路框圖。該控制電

20、路包括恒頻波形發(fā)生器、脈寬調(diào)制器、脈沖分配電路等脈寬調(diào)速系統(tǒng)所特有的電路。圖8 PWM驅(qū)動裝置控制電路框圖 321恒頻波形發(fā)生器 它的作用是產(chǎn)生頻率恒定的振蕩信號作為時間比較的基準(zhǔn),其波形可以是三角形波或鋸齒波。PWM波由具有輸出的PWM控制器產(chǎn)生。 3.2.2脈寬調(diào)制器 SG1525為單片脈寬調(diào)制型控制器芯片,具有輸出5.1V 的基準(zhǔn)穩(wěn)壓電源,誤差放大器、振蕩頻率在100 400kHz范圍內(nèi)的鋸齒波振蕩器、軟啟動電路、關(guān)閉電路、脈寬調(diào)制比較器、RS寄存器以及保護電路等。它解決了PWM電路的集成化問題,在實例中用此芯片來實現(xiàn)系統(tǒng)的調(diào)速。在具體的電路中,首先對位置傳感器信號進行整形,形成所需要的

21、前后沿很陡,具有一定寬度的波形。經(jīng)微分電路微分,產(chǎn)生的微分脈沖去觸發(fā)時基電路LM555,形成占空比為2:1的方波,方波頻率約為200Hzo 此方波頻率計算公式為:f= n * p/ 60式中Y1為電機的額定轉(zhuǎn)速r/min, f為位置傳感器輸出信號的頻率、P為電機的極對數(shù)。方波經(jīng)濾波器濾波后,形成直流電壓送人脈寬調(diào)制器,與脈寬調(diào)制器的反饋電壓進行比較,利用得到的誤差信號去控制脈寬調(diào)制器輸出的調(diào)制方波脈沖的寬度變化,即PWM輸出脈沖占空比的變化,利用占空比的變化調(diào)整加在電機電樞繞組上的電壓,改變電壓隨即改變電機電流,轉(zhuǎn)速依據(jù)電流的大小來改變。 結(jié)束語:在應(yīng)用實例中,PWM對調(diào)速系統(tǒng)來說,有如下優(yōu)點

22、:系統(tǒng)的響應(yīng)速度和穩(wěn)定精度等指標(biāo)比較好;電樞電流的脈動量小,容易連續(xù),而且可以不必外加濾波電抗也可以平穩(wěn)工作;系統(tǒng)的調(diào)速范圍寬;使用元件少、線路簡單。 它的作用是實現(xiàn)電壓、脈寬的轉(zhuǎn)換(V/M),即形成PWM信號。SG1525集成控制器由R2 和Rp1分壓給出EA(+)(2引腳)的系統(tǒng)設(shè)定值電壓。這就要求提供此電壓的基準(zhǔn)電源VREF有較高精度。VREF受15引腳VCC1電源電壓的影響。VCC1是標(biāo)準(zhǔn)三端集成穩(wěn)壓器的輸入電壓。VREF是穩(wěn)壓器的輸出電壓 Vcc。低于7V或嚴(yán)重欠電壓時,VREF的精度值(51V1% )就得不到保證;為防止EA(+)設(shè)定值電壓波動導(dǎo)致系統(tǒng)失控,在器件內(nèi)部設(shè)置有欠壓鎖定

23、功能。出現(xiàn)欠電壓時,欠電壓鎖定功能使圖7中 A 端線由低電壓上升為邏輯高電壓經(jīng)“或”一“或非”門輸出轉(zhuǎn)化為P1= P2=DCBA=DCB1;P1 =2P=1;P1 和P2的邏輯低電壓使輸出驅(qū)動晶體管T1和T2 截止,P1和P2的邏輯高電壓使晶體管T和T的集電極對地導(dǎo)通??刂破?1 和l4引腳的輸出電壓脈沖消失( V01=V02 = 0),功率驅(qū)動電路輸出至主開關(guān)管V的控制驅(qū)動脈沖消失,主開關(guān)管關(guān)斷使直流電機停轉(zhuǎn)。 欠電壓使A端線高電壓傳遞到T3晶體管基極,T3導(dǎo)通為8引腳外接電容C3,提供放電的徑C3經(jīng)T3發(fā)射極電阻放電為零電壓后,限制了比較器C的PWM 脈沖電壓輸出 ,該脈沖電壓上升為恒定的

24、邏輯高電壓。 PWM 高電壓經(jīng) PWM 鎖存器輸出到D端線仍為恒定的邏輯高壓,C3 電容重新充電之前,D端線的高電壓不會發(fā)生變化。D與 A同為高電壓雙重封鎖V01和V02為零出欠電壓消失后,欠電壓鎖定功能使A恢復(fù)低電壓正常值,A的低電壓使管恢復(fù)截止。C3電容由50A 電流源緩慢充電。C3充電對PWM 和D端線脈沖寬度產(chǎn)生影響。同時對V01和V02產(chǎn)生影響,其結(jié)果是使V01和V02脈沖由窄緩慢變寬。只有C3充電結(jié)束后V01和V02脈沖寬度才不再受C3充電的影響。參見圖8和圖9。圖9 SG1525集成PWM控制器的內(nèi)部結(jié)構(gòu)圖 由于V01和V02脈沖寬度受C3充電影響緩慢加寬,欠電壓消失后的功率驅(qū)動

25、脈沖也是由窄變寬的,主開關(guān)管斬波輸出的直流電壓Va呈現(xiàn)出由小變大的趨勢,而不是躍變?yōu)槟骋还潭ㄖ惦妷?。這種軟啟動方式,使系統(tǒng)主回路電機及開關(guān)器件避免承受過大的沖擊渲涌電流。C3 一般選用幾微法的電解電容器. 3.2.3系統(tǒng)的故障關(guān)閉功能 為便于從直流電機主回路接受檢測到的故障信號,例如,電機過電流,過電壓,VD直流失壓等故障信號,集成控制器內(nèi)部T3晶體管基極經(jīng)-50k13電阻連接1引腳。外部故障信號使Va穩(wěn)壓管導(dǎo)通時,穩(wěn)壓管導(dǎo)通電流在R6兩端產(chǎn)生邏輯高電壓,此邏輯高電壓使T3管基極上升為邏輯高電壓。由于T3基極與 A端線相連,故障信號產(chǎn)生的關(guān)閉過程與欠電壓鎖定過程類似。即使P1 =P2=0,T1

26、和T2晶體管截止;P1=2P=1, T2T導(dǎo)通。V01=V02=0;關(guān)閉驅(qū)動脈沖使主開關(guān)管V關(guān)斷,Va =0,電機停轉(zhuǎn)。另外,故障信號使,導(dǎo)通提供8引腳腳C3電容的放電路徑,C3放電到零電壓為軟啟動作好準(zhǔn)備。故障消除后T3截止,C3由電流源緩慢充電,V01和V02脈沖由窄變寬,由低值逐漸升高到某固定值,電機在不承受過大啟動電流的狀態(tài)下平穩(wěn)上升到某固定轉(zhuǎn)速。3.2.4系統(tǒng)波形與控制方式分析 系統(tǒng)控制器輸出的控制脈沖電壓V01和V02 (11和14腳)的上跳時間,由一個鋸齒波電壓V+的谷點時刻確定。即V01和V02總是在鋸齒渡電壓V+取最小值時,由邏輯低電平上跳為邏輯高電平(圖3)。為保證V01和

27、V02不同時出現(xiàn)邏輯高電壓 (每間隔一個鋸齒波出現(xiàn)一次),Vo1和Vo2的頻率設(shè)置為鋸齒波電壓頻率的二分之一。圖2中,F(xiàn)F 觸發(fā)器在CP脈沖控帝葺下輸出Q和Q兩個二分頻計數(shù)脈沖分別至不同或一或非門口B輸入端,即可達到上述頻率設(shè)置的目地。CP脈沖出現(xiàn)的時刻與鋸齒波峰點對齊,CP后沿下跳時刻與谷點對齊,這樣可保證CP與鋸齒渡的同步同頻率變化。CP與鋸齒波V+的同步同頻率設(shè)置功能,由OSC振蕩器完成。CP實際是由雙門限比較器將鋸齒波電壓整形后的。OSC輸出波形參見圖3。 Vo1和Vo2脈沖的后沿下跳時刻由鋸齒渡V+ 的上升沿區(qū)間和V一電壓的交點確定,當(dāng)V +上升到V+V-的臨界對應(yīng)時刻時,Vo1或V

28、o2脈沖由邏輯高電平跳變?yōu)檫壿嫷碗娖?。誤差放大器 EA的輸出電壓V-,可由2引腳設(shè)定電位器Rp1調(diào)節(jié)。調(diào)節(jié)Rp1使V-等于V+的谷點電壓時,Vo1和Vo2的脈寬縮減為零,Vo1= Vo2=0;調(diào)節(jié)RP1使V-等于V+的蜂點電壓時,Vo1和Vo2的脈寬達到最大值。由于V-電壓由V+的谷點到峰點電壓調(diào)節(jié)時,和V +交點在鋸齒波上升沿移動所對應(yīng)的時間為t1,Vo1 和Vo2的最大脈沖寬度也為t1。V+ 與V-的交點比較功能由C比較器(圖2)完成,當(dāng)V+V-,C比較器輸出的PWM 渡形由邏輯低電平變?yōu)楦唠娖剑籚+V-時(V+下降沿交點),C比較器輸出PWM波由邏輯高電平變?yōu)榈碗娖?,為保證PWM波寬不致

29、于太窄,用PWM鎖存器鎖存高電平值,并在CP脈沖下跳時對鎖存器清零。以進行下一個比較點的鎖存。經(jīng)PWM鎖存器輸出到“或”一“或非”門C輸入端的脈沖最小寬度與CP同寬。集成控制器與系統(tǒng)工作波形圖見3。系統(tǒng)的自動 調(diào)節(jié)過程分析如下: 圖10 SG1525各點波形與PWM斬波調(diào)壓波形 調(diào)節(jié)電位器RP1使誤差放大器輸出一固定的V-電壓在V+的谷點和V-與V+交點對應(yīng)的區(qū)間內(nèi)有固定的Vo1 +Vo2脈沖(11和14腳并接獲Vo1+Vo2)輸出到功率驅(qū)動電路,主開關(guān)管V以某固定脈寬斬波輸出Ua,濾波輸由RP1調(diào)節(jié)確定的直流電壓Va值到直流電機,電機保持其穩(wěn)定轉(zhuǎn)速運行。當(dāng)電機因某種擾動固察使轉(zhuǎn)速發(fā)生變化時,

30、例如,負載變化使轉(zhuǎn)速下降,則由系統(tǒng)檢測反饋的Vf電壓值跟隨下降,Vf經(jīng)R3及串聯(lián)二極管(此二極管可防止調(diào)試系統(tǒng)時正負極接反形成正反饋)使誤差放大器EA(-)反相輸入端電位下降,誤差電壓E=EA(+)-EA(-)增大(方向由EA(+)指向EA(-),誤差放大器對E的比例積分運算(EA誤差放大器的輸出9引腳和反相輸入引腳間接有 R4、C2構(gòu)成的比例積分反饋網(wǎng)絡(luò))輸出電壓V-值上升,V-的上升使V +V-的交點時刻(鋸齒披上升沿交點)后移。Vo1+Vo2和U。脈沖寬度均變寬,Ua的濾波平均值電壓Va按比例積分規(guī)律增大, Va增大使電機轉(zhuǎn)速回升,當(dāng)電機轉(zhuǎn)速回升到Rp1設(shè)定值EA(+)所對應(yīng)的穩(wěn)定狀態(tài)時

31、,E調(diào)節(jié)為零,V-停止比例積分變化,系統(tǒng)進入新和穩(wěn)態(tài)運行。3.2.5 脈沖分配電路 在可逆PWM變換器中,上、下兩個晶體管經(jīng)常交替工作,如圖10所示。由于晶體管存在關(guān)斷時間,因此有可能能造成在一個晶體管未完全關(guān)斷時,另一個晶體管已導(dǎo)通,從而使電源短路。為了避免這種情況發(fā)生,根據(jù)功率轉(zhuǎn)換電路的工作要求,設(shè)置了大功率晶體管的導(dǎo)通次序,即脈沖分配電路,使大;功率晶體管能按照指定的順序?qū)ā?在圖11中,晶體管V1、V4是同時關(guān)斷的,V2、V3也是同時導(dǎo)通同時關(guān)斷的,但V1與V2、V3與V4都不允許同時導(dǎo)通,否則電源Ud直通短路。設(shè)V1、V4先同時導(dǎo)通T1秒后同時關(guān)斷,間隔一定時間之后,再使V2、V3

32、同時導(dǎo)通T2秒后同時關(guān)斷,如此反復(fù),則電動機電樞端電壓波形如圖11(b)所示。圖11脈沖分配電路電動機電樞端電壓的平均值為Ua= UdT TUdTTTT)11 2(2121=(2-1)Ud 由于01,Ua值的范圍是-UdUd,因而電動機可以在正反兩個方向調(diào)速運轉(zhuǎn)。3.2.6基極驅(qū)動電路 系統(tǒng)采用的功率驅(qū)動電路取決于主開關(guān)管V的器件類別。用不同類別的主開關(guān)其功率驅(qū)動電路也不同。本系統(tǒng)采用BJT功率晶體管的驅(qū)動電路。圖2是驅(qū)動 BJT功率晶體管的一種用的雙電源光電耦合驅(qū)動電路,其工作原理如下。 Vo1+Vo2為邏輯低電平時,T4晶體管止集電極輸出高電平至T3基極,穩(wěn)壓管W與T3均導(dǎo)通,使集電極為低

33、電平。一般可設(shè)計T3集電極低電平為負值,例如,設(shè)計Vca=Vw+VCESa-VCC=2.6V,受VC3負位制約;BJT基極電位(A點)為VC3+VEB2=-2V(此時T1管VBE1-VEB2=O6V反偏電壓截止)。BJT發(fā)射極連于電容C的聯(lián)交點B,可獲得直流懸浮零電位VB(VCCVc)=0( Vc=2Vcc C CC )。該直流懸浮零電位使 BJT基極發(fā)射極間有2V的反向偏置電壓,以保證BJT的可靠關(guān)斷。因BJT發(fā)極與電感L相連,電容C還有效隔斷驅(qū)動路和L強電電路的直流電聯(lián)系。 Vo1+Vo2為高電平時,T4導(dǎo)通,T3和穩(wěn)壓管關(guān)斷,Vcc經(jīng)R3和T1管基極、發(fā)射極向BJ提供基極開通電流,T2管

34、承受VBE1=-VEB2反壓截止。R1限制BJT導(dǎo)通基流的大小。R2在BJT關(guān)斷瞬間,限制電容C經(jīng)BJT發(fā)射極、基極,T2發(fā)射極、集電極,負電源回路的反向恢復(fù)電流峰值。 調(diào)試圖2中的 R5,可改變Vo1+Vo2脈沖的幅值,以適應(yīng)輸入光電耦合電路的參敬定額要求。圖12電路的適應(yīng)性較強也可用于IGBT絕緣柵雙極晶體管的功率驅(qū)動電路。圖12 基極驅(qū)動電路3.3、相關(guān)數(shù)據(jù)分析該系統(tǒng)調(diào)速精度與調(diào)速范圍要求不高。本系統(tǒng)采用三相 (1)CT,RT,RD的選取 SG1525集成控制器可輸出01400kHz的脈沖頻率,對應(yīng)CT= 00010.1F,RT=2 150k取值。一般對于BJT和GTo器件可取f=1kH

35、z以下,IGBT器件取f= 10kHz左右。f與CT,RT,RD的關(guān)系用下式確定。 f=l(t1+ t2)=1(067R1CTR1+1.3RDCT) 例如f= lkHz,T= 0001s,取定t= 002s,t2=016s,可算得Ce=0.122Vmin/r時RT與RD分別為RT = t1067Ct0.00090670011061= 134kRD=t213CT= 0000113001610 =77kt2一般應(yīng)取遠小于t1的值,否則影響脈沖占空比(t1(t1+ t2)和斬波效率。此處的占空比最大值為00009(00001+00009)=0.9。(2)R2和RP1的選取 VREF(16腳)輸出的最

36、大電流為50mA,一般在40mA 以下取值。若取定IREF=15mA變化,RP1設(shè)為零值時可算得R2為R2= VREFIREF=51V5mA=lkRP1設(shè)置為最大值時可算得RP1+ R2= VREFIREF=5.1VlmA =5.1kRP1 = 41k(3)其它引腳器件的確定 R5電阻的選取要用調(diào)試方法確定,一般選取一個可調(diào)電位器Rw和一個固定的R串聯(lián)組成Rs=Rw+R 的結(jié)構(gòu)。當(dāng)Rw調(diào)為零時,R的大小要足以限制功率驅(qū)動電路的輸入電流不 超過允許值。例如,功率驅(qū)動電路要求Vo1+Vo2=3V驅(qū)動輸入電流最大允許值為50mA,忽略圖2中Tt或T2導(dǎo)通壓降最小值(sat),可算得R5電阻應(yīng)為R5=

37、(Vcc1-Vo)Io= (1 5V50mA = 024k. 可選取R5=300,略大于計算值的電阻。由于R5上有較大的電流,還要注意其瓦數(shù)的選擇此處可選PR5(Vcc1-Vo)Io = 0.6W。 總結(jié) 該系統(tǒng)調(diào)速精度與調(diào)速范圍要求不是很高,但與傳統(tǒng)的晶閘管可控調(diào)速系統(tǒng)相比,它具有調(diào)速范圍寬、快速性能好、功率因數(shù)高、結(jié)構(gòu)簡單等優(yōu)點,使之以廣泛應(yīng)用于各行各業(yè)的直流調(diào)速系統(tǒng)當(dāng)中。本系統(tǒng)采用了脈寬調(diào)制器SG1525來完成,它解決了PWM電路的集成化問題,在實例中就可用此芯片來實現(xiàn)系統(tǒng)的調(diào)速。 經(jīng)過的努力,終于圓滿的完成了本課程設(shè)計。通過親身體驗做課程設(shè)計的目的,在于通過理論與實際的結(jié)合,進一步提高

38、我們觀察、分析和解決問題的實際工作能力。大三了,馬上就要面對社會開始工作,理論的學(xué)習(xí)我們或許已經(jīng)掌握的很好,但實際的工作能力就是有待提高的了, 通過本次設(shè)計以便積累一些經(jīng)驗對我們來說肯定是很有利的。參考文獻1黃俊,王兆安電力電子技術(shù)(第4版)北京:機械工業(yè)出版社,2000 2趙良炳.現(xiàn)代電力電子技術(shù)基礎(chǔ).北京:清華大學(xué)出版社,1995 27 3張立,趙永健.現(xiàn)代電力電子技術(shù).北京:科學(xué)出版社,1992 4王志良.電力電子新器件及其應(yīng)用技術(shù).北京:國防工業(yè)出版社,1995(來源于Internet )5張東立.直流拖動系統(tǒng).北京:機械工業(yè)出版社,1996 6孔凡才.自動控制原理與系統(tǒng)(第三版).北京:機械工業(yè)出版社,2007 7吳守箴等.電氣傳動的脈寬調(diào)制控制技術(shù).北京:機械工業(yè)出版社,1995 (來源于Internet )8陳伯時。電力拖動自動控制系統(tǒng)(第3版)。機械工業(yè)出版社,2003.7

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!