九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

優(yōu)秀畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的多點(diǎn)溫度檢測(cè)系統(tǒng)的設(shè)計(jì)

上傳人:仙*** 文檔編號(hào):29769788 上傳時(shí)間:2021-10-08 格式:DOC 頁(yè)數(shù):70 大?。?.18MB
收藏 版權(quán)申訴 舉報(bào) 下載
優(yōu)秀畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的多點(diǎn)溫度檢測(cè)系統(tǒng)的設(shè)計(jì)_第1頁(yè)
第1頁(yè) / 共70頁(yè)
優(yōu)秀畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的多點(diǎn)溫度檢測(cè)系統(tǒng)的設(shè)計(jì)_第2頁(yè)
第2頁(yè) / 共70頁(yè)
優(yōu)秀畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的多點(diǎn)溫度檢測(cè)系統(tǒng)的設(shè)計(jì)_第3頁(yè)
第3頁(yè) / 共70頁(yè)

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《優(yōu)秀畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的多點(diǎn)溫度檢測(cè)系統(tǒng)的設(shè)計(jì)》由會(huì)員分享,可在線閱讀,更多相關(guān)《優(yōu)秀畢業(yè)設(shè)計(jì)基于AT89C51單片機(jī)的多點(diǎn)溫度檢測(cè)系統(tǒng)的設(shè)計(jì)(70頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、 南 京 理 工 大 學(xué)畢業(yè)設(shè)計(jì)說(shuō)明書(shū)(論文)作作 者者:孫露 學(xué)學(xué) 號(hào):號(hào):104910252060 教教研研室室:機(jī)電教研室 專(zhuān)專(zhuān) 業(yè)業(yè):機(jī)電一體化工程 題題 目目:多點(diǎn)溫度檢測(cè)系統(tǒng)的設(shè)計(jì) 指導(dǎo)者:指導(dǎo)者: 謝聰謝聰 工程師工程師 評(píng)閱者:評(píng)閱者: 2012 年 5 月 中中文文摘摘要要本設(shè)計(jì)系統(tǒng)地介紹了基于 DS18B20 的多點(diǎn)溫度測(cè)量系統(tǒng)的組成、設(shè)計(jì)方案、電路原理、程序設(shè)計(jì)以及系統(tǒng)仿真過(guò)程。DS18B20 多點(diǎn)溫度測(cè)量系統(tǒng)是以AT89C51 單片機(jī)作為控制核心,智能溫度傳感 DS18B20 為控制對(duì)象,運(yùn)用匯編語(yǔ)言編程實(shí)現(xiàn)系統(tǒng)的各種功能。該系統(tǒng)由單片機(jī)最小系統(tǒng)、傳感器電路、報(bào)警電路、

2、LCD 顯示電路、行列式鍵盤(pán)電路、電源電路六大部分組成。借助 PROTEUS 軟件,實(shí)現(xiàn)了系統(tǒng)電路設(shè)計(jì)和仿真。它適用于電力工業(yè)、煤礦、森林、火災(zāi)、高層建筑等場(chǎng)所,還可以用于環(huán)境惡劣的工業(yè)控制現(xiàn)場(chǎng)。通過(guò) DS18B20 的單總線技術(shù),實(shí)現(xiàn)對(duì)遠(yuǎn)程環(huán)境的溫度測(cè)量與監(jiān)控。關(guān)鍵詞 DS18B20, 仿真, 測(cè)量系統(tǒng), PROTEUS 英英 文文摘摘要要Title MULTI-POINT TEMPERATURE DETECTION SYSTEM DESIGNAbstractThis system introduced on the DS18B20 multi-point temperature measu

3、rement system components, design, circuit theory, program design and product development process. DS18B20 multi-point temperature measurement system is controlled by the core AT89C51 SCM , smart temperature sensing DS18B20 targets for the control, and is used of Edit collected materials language pro

4、gramming system functions. The system is the smallest MCU system, sensor circuit, alarm circuits, LCD display circuit, the five major determinant keyboard circuit components. The system is constituted from PROTEUS software, and the system design and simulation, and the eventual adoption of hardware

5、verification six big part. It is applicable to electric power industry, coal mine, forest, a fire, key figures building etc. place, return can used for environment bad of industry control the spot. DS18B20 through a single bus technology, to achieve the long-range environmental temperature measureme

6、nt and monitoring.Key words DS18B20, simulation, measurement system,PROTEUS本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文) 第 I 頁(yè) 共 II 頁(yè)目 錄1 緒論.11.1 課題設(shè)計(jì)背景 .11.2 課題研究的目的意義 .11.3 國(guó)內(nèi)外現(xiàn)狀及水平 .21.4 課題研究?jī)?nèi)容 .22 2 系統(tǒng)的需求分析與總體方案論證.42.1 基于模擬溫度傳感器設(shè)計(jì)方案 .42.2 基于數(shù)字溫度傳感器設(shè)計(jì)方案 .52.3 方案論證 .53 電路設(shè)計(jì).73.1 工作原理.73.2 DS18B20 與單片機(jī)接口技術(shù).83.2.1 DS18B

7、20 的引腳功能 .83.2.2 DS18B20 與單片機(jī)接口電路 .83.2.3 溫度寄存器格式和溫度/數(shù)據(jù)對(duì)應(yīng)關(guān)系.93.2.4 單片機(jī)對(duì) DS18B20 的控制方法.103.3 鍵盤(pán)電路設(shè)計(jì) .133.3.1 行列式鍵盤(pán)與單片機(jī)接口電路.133.3.2 鍵盤(pán)面板.133.4 顯示電路設(shè)計(jì).143.4.1 LCD 引腳分布及功能 .143.4.2 單片機(jī)與圖形液晶的接口電路 .153.5 報(bào)警電路設(shè)計(jì) .163.6 電源電路設(shè)計(jì) .174 程序設(shè)計(jì).184.1 系統(tǒng)資源分配 .184.1.1 系統(tǒng)硬件資源分配.184.1.2 系統(tǒng)軟件資源分配.184.2 系統(tǒng)流程設(shè)計(jì) .184.2.1 主

8、程序流程設(shè)計(jì) .184.2.2 DS18B20 程序流程設(shè)計(jì) .204.2.3 顯示程序流程.214.2.4 鍵盤(pán)程序程序流程.224.3 程序設(shè)計(jì) .244.3.1 主程序設(shè)計(jì).245 系統(tǒng)仿真.25本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文) 第 II 頁(yè) 共 II 頁(yè)5.1 PROTEUS 仿真環(huán)境介紹 .255.2 原理圖繪制.265.3 程序加載.275.3.1 程序編譯.275.3.2 程序加載.275.4 系統(tǒng)仿真 .285.5 仿真結(jié)果分析 .33結(jié)束語(yǔ).34致 謝.35參考文獻(xiàn).36附錄一.38附錄二.39本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 1 頁(yè) 共 6

9、1 頁(yè) 1 1 緒論緒論 1.11.1 課題課題設(shè)計(jì)背景設(shè)計(jì)背景溫度是一個(gè)和人們生活環(huán)境有著密切關(guān)系的物理量,也是一種在生產(chǎn)、科研、生活中需要測(cè)量和控制的重要物理量,是國(guó)際單位制七個(gè)基本量之一,同時(shí)它也是一種最基本的環(huán)境參數(shù)。人民的生活與環(huán)境溫度息息相關(guān),物理、化學(xué)、生物等學(xué)科都離不開(kāi)溫度。在工業(yè)生產(chǎn)和實(shí)驗(yàn)研究中,在電力、化工、石油、冶金、機(jī)械制造、大型倉(cāng)儲(chǔ)室、實(shí)驗(yàn)室、農(nóng)場(chǎng)塑料大棚甚至人們的居室里經(jīng)常需要對(duì)環(huán)境溫度進(jìn)行檢測(cè),并根據(jù)實(shí)際的要求對(duì)環(huán)境溫度進(jìn)行控制。比如,發(fā)電廠鍋爐的溫度必須控制在一定的范圍之內(nèi);許多化學(xué)反應(yīng)的工藝過(guò)程必須在適當(dāng)?shù)臏囟认虏拍苷_M(jìn)行。煉油過(guò)程中,原油必須在不同的溫度和

10、壓力條件下進(jìn)行分流才能得到汽油、柴油、煤油等產(chǎn)品;沒(méi)有合適的溫度環(huán)境,許多電子設(shè)備不能正常工作,糧倉(cāng)的儲(chǔ)糧就會(huì)變質(zhì)霉?fàn)€,酒類(lèi)的品質(zhì)就沒(méi)有保障??梢?jiàn),研究溫度的測(cè)量具有重要的理論意義和推廣價(jià)值。隨著現(xiàn)代計(jì)算機(jī)和自動(dòng)化技術(shù)的發(fā)展,作為各種信息的感知、采集、轉(zhuǎn)換、傳輸相處理的功能器件,溫度傳感器的作用日益突出,成為自動(dòng)檢測(cè)、自動(dòng)控制系統(tǒng)和計(jì)量測(cè)試中不可缺少的重要技術(shù)工具,其應(yīng)用已遍及工農(nóng)業(yè)生產(chǎn)和日常生活的各個(gè)領(lǐng)域。本設(shè)計(jì)就是為了滿足人們?cè)谏钌a(chǎn)中對(duì)溫度測(cè)量系統(tǒng)方面的需求。本設(shè)計(jì)要求系統(tǒng)測(cè)量的溫度的點(diǎn)數(shù)為 4 個(gè),測(cè)量精度為 0.1,測(cè)溫范圍為-55+128。采用液晶顯示溫度值和路數(shù),顯示格式為:溫

11、度的符號(hào)位,整數(shù)部分,小數(shù)部分,最后一位顯示。顯示數(shù)據(jù)每一秒刷新一次。1.21.2 課題研究的目的意義課題研究的目的意義21 世紀(jì)科學(xué)技術(shù)的發(fā)展日新月異,科技的進(jìn)步帶動(dòng)了測(cè)量技術(shù)的發(fā)展,現(xiàn)代控制設(shè)備的性能和結(jié)構(gòu)發(fā)生了巨大的變化,我們已經(jīng)進(jìn)入了高速發(fā)展的信息時(shí)代,測(cè)量技術(shù)也成為當(dāng)今科技的主流之一,被廣泛地應(yīng)用于生產(chǎn)的各個(gè)領(lǐng)域。對(duì)于本次設(shè)計(jì),其目的在于:(1)掌握數(shù)字溫度傳感器 DS18B20 的原理、性能、使用特點(diǎn)和方法,利用 C51對(duì)系統(tǒng)進(jìn)行編程。(2)本課題綜合了現(xiàn)代測(cè)控、電子信息、計(jì)算機(jī)技術(shù)專(zhuān)業(yè)領(lǐng)域方方面面的知識(shí),具有綜合性、科學(xué)性、代表性,可全面檢驗(yàn)和促進(jìn)學(xué)生的理論素養(yǎng)和工作能力。(3)

12、 本課題的研究可以使學(xué)生更好地掌握基于單片機(jī)應(yīng)用系統(tǒng)的分析與設(shè)計(jì)方法,培養(yǎng)創(chuàng)新意識(shí)、協(xié)作精神和理論聯(lián)系實(shí)際的學(xué)風(fēng),提高電子產(chǎn)品研發(fā)素質(zhì)、本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 2 頁(yè) 共 61 頁(yè) 增強(qiáng)針對(duì)實(shí)際應(yīng)用進(jìn)行控制系統(tǒng)設(shè)計(jì)制作的能力。1.31.3 國(guó)內(nèi)外現(xiàn)狀及水平國(guó)內(nèi)外現(xiàn)狀及水平傳感器屬于信息技術(shù)的前沿尖端產(chǎn)品,尤其是溫度傳感器被廣泛用于工農(nóng)業(yè)生產(chǎn)、科學(xué)研究和生活等領(lǐng)域,數(shù)量高居各種傳感器之首。溫度傳感器的發(fā)展大致經(jīng)歷了以下三個(gè)階段:傳統(tǒng)的分立式溫度傳感器(含敏感元件);模擬集成溫度傳感器控制器;數(shù)字溫度傳感器。目前,國(guó)際上新型溫度傳感器正從模擬式向數(shù)字式、由集成化向智能化

13、、網(wǎng)絡(luò)化的方向發(fā)展,同時(shí)具有抑制串模干擾能力強(qiáng)、分辨力高、線性度好、成本低等優(yōu)點(diǎn)。隨著我國(guó)四個(gè)現(xiàn)代化和經(jīng)濟(jì)發(fā)展,我國(guó)在科技和生產(chǎn)各領(lǐng)域都取得了飛速的發(fā)展和進(jìn)步,發(fā)展以溫度傳感器為載體的溫度測(cè)量技術(shù)具有重大意義。DS18B20 是美國(guó) DALLAS 公司生產(chǎn)的單總線數(shù)字溫度傳感器,可把溫度信號(hào)直接轉(zhuǎn)換成串行數(shù)字信號(hào)供微機(jī)處理,而且可以在一條總線上掛接任意多個(gè) DS18B20 芯片,構(gòu)成多點(diǎn)溫度檢測(cè)系統(tǒng)無(wú)需任何外加硬件(單總線數(shù)字溫度傳感器 DS18B20 及其在單片機(jī)系統(tǒng)的應(yīng)用 ) 。單總線數(shù)字溫度傳感器,具有微型化、低功耗、高性能、抗干擾能力強(qiáng)、易于與微控制器接口優(yōu)點(diǎn),適合于各種溫度測(cè)控系統(tǒng)(

14、數(shù)字溫度傳感器 DS18B20 及其應(yīng)用) 。以 DS18B20 為例,介紹數(shù)字式溫度傳感器的功能特點(diǎn)及由 DS18B20 組成的溫度測(cè)控網(wǎng)絡(luò)系統(tǒng)的設(shè)計(jì)。隨著社會(huì)的發(fā)展、農(nóng)業(yè)生產(chǎn)也進(jìn)人了工廠化、數(shù)字化時(shí)代,人們開(kāi)始“使用人工設(shè)施、人工控制環(huán)境因素,使植物獲得最適宜的生長(zhǎng)條件,從而延長(zhǎng)生產(chǎn)季節(jié),獲得最佳產(chǎn)出”,由此“工廠化農(nóng)業(yè)”應(yīng)運(yùn)而生并被廣泛接受(單總線溫度傳感器DS18B20 及其在溫室系統(tǒng)中的應(yīng)用 ) 。我國(guó)是一個(gè)人口眾多的農(nóng)業(yè)大國(guó) ,糧食生產(chǎn)、需求與儲(chǔ)備量很大 ,糧食在儲(chǔ)備的過(guò)程中常因糧食的濕度過(guò)大而升溫發(fā)熱 ,又由于檢測(cè)手段的落后造成溫檢系統(tǒng)錯(cuò)報(bào)或漏報(bào) ,從而導(dǎo)致糧食大量的腐爛變質(zhì) ,

15、給國(guó)家?guī)?lái)巨大的損失。這就對(duì)糧情檢測(cè)系統(tǒng)提出了較高的要求(一種基于單線數(shù)字溫度傳感器 DS18B20 的儲(chǔ)糧溫度檢測(cè)系統(tǒng)的設(shè)計(jì) ) 。而基于 DS18B20 設(shè)計(jì)的溫度檢測(cè)系統(tǒng)就可以實(shí)現(xiàn)這一需求。1.41.4 課題研究?jī)?nèi)容課題研究?jī)?nèi)容本設(shè)計(jì)研究的主要內(nèi)容如下:(1)在廣泛查閱溫度檢測(cè)控制理論和方法、測(cè)溫技術(shù)和溫度控制技術(shù)等資料的基礎(chǔ)上,根據(jù)不同的控制要求及應(yīng)用領(lǐng)域完成對(duì)系統(tǒng)方案的總體設(shè)計(jì)。本設(shè)計(jì)采用以 AT89C51 為核心的單片機(jī)系統(tǒng),來(lái)實(shí)現(xiàn)對(duì)溫度的檢測(cè)、報(bào)警等功能。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 3 頁(yè) 共 61 頁(yè) (2)研究比較各相關(guān)元器件的功能與特點(diǎn),選擇合適的元

16、器件。(3)系統(tǒng)硬件設(shè)計(jì)。系統(tǒng)硬件設(shè)計(jì)主要包括:溫度檢測(cè)、單片機(jī)數(shù)據(jù)采集處理、顯示、鍵盤(pán)設(shè)定、報(bào)警電路等部分。(4)系統(tǒng)軟件設(shè)計(jì)。本課題采用匯編語(yǔ)言,利用 Keil 編譯器進(jìn)行編程及調(diào)試。主要研究 DS18B20 與單片機(jī)的通信協(xié)議、時(shí)序及一些通用程序等。本設(shè)計(jì)的難點(diǎn)分為硬件和軟件兩個(gè)方面。其中硬件開(kāi)發(fā)的難點(diǎn)在于各種元器件的選擇和使用,如各種電阻、電容等的選擇。軟件開(kāi)發(fā)的難點(diǎn)在于 DS18B20 的時(shí)序,如果時(shí)序不正確,將無(wú)法讀出正確的溫度值,對(duì)系統(tǒng)產(chǎn)生很大的影響。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 4 頁(yè) 共 61 頁(yè) 2 2 系統(tǒng)的需求分析與總體方案論證2.12.1 基于模

17、擬溫度傳感器設(shè)計(jì)方案基于模擬溫度傳感器設(shè)計(jì)方案該方案由單片機(jī)、模擬溫度傳感器 AD590、運(yùn)算放大器、AD 轉(zhuǎn)換器、44鍵盤(pán)、LCD 顯示電路、集成功率放大器、報(bào)警器組成,如圖 2.1 所示。本方案采用模擬溫度傳感器 AD590 作為測(cè)溫元件,傳感器將測(cè)量的溫度變換轉(zhuǎn)換成電流的變化,再通過(guò)電路轉(zhuǎn)換成電壓的變化,使用運(yùn)算放大器交將信號(hào)進(jìn)行適當(dāng)?shù)姆糯?,最后通過(guò)模數(shù)轉(zhuǎn)換器將模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào),傳給給單片機(jī),單片機(jī)將溫度值進(jìn)行處理之后用 LCD 顯示 ,當(dāng)溫度值超過(guò)設(shè)置值時(shí),系統(tǒng)開(kāi)始報(bào)警。 單片機(jī)模擬溫度傳感器模擬溫度傳感器LCD顯示電路集成功放報(bào)警器44鍵盤(pán)模擬傳感器AD590模擬溫度傳感器模擬

18、溫度傳感器運(yùn)算放大器多路選擇器A/D轉(zhuǎn)換圖 2.1 基于模擬溫度傳感器的測(cè)量系統(tǒng)方案本方案使用的測(cè)溫元件的性能指標(biāo)如下:(1)AD590 的測(cè)溫范圍為55+150。(2)AD590 的電源電壓范圍為 4V30V,電源電壓可在 4V6V 范圍變化,電流 變化 1mA,相當(dāng)于溫度變化 1K。AD590 可以承受 44V 正向電壓和 20V 反向電壓,因而器件反接也不會(huì)被損壞。(3)輸出電阻為 710MW。 (4)精度高,AD590 共有 I、J、K、L、M 五檔,其中 M 檔精度最高,在55+150范圍內(nèi),非線性誤差為0.3。 集成溫度傳感器具有線性好、精度適中、靈敏度高、體積小、使用方便,溫度測(cè)

19、量范圍廣等優(yōu)點(diǎn),得到廣泛應(yīng)用。集成溫度傳感器的輸出形式分為電壓輸出和電流輸出兩種。電壓輸出型的靈敏度一般為 10mV/K,溫度 0時(shí)輸出為本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 5 頁(yè) 共 61 頁(yè) 0,溫度 25時(shí)輸出 2.982V。電流輸出型的靈敏度一般為 1mA/K。2.22.2 基于數(shù)字溫度傳感器設(shè)計(jì)方案基于數(shù)字溫度傳感器設(shè)計(jì)方案該方案使用了 AT89C51 單片機(jī)作為控制核心,以智能溫度傳感器 DS18B20 為溫度測(cè)量元件,采用多個(gè)溫度傳感器對(duì)各點(diǎn)溫度進(jìn)行檢測(cè),通過(guò) 44 鍵盤(pán)模塊對(duì)正常溫度進(jìn)行設(shè)置顯示電路采用 12864 LCD 模塊,使用 LM386 作為報(bào)警電路中

20、的功率放大器。 圖 2.2 基于數(shù)字溫度傳感器測(cè)量系統(tǒng)方案本課題采用數(shù)字溫度傳感器 DS18B20 作為測(cè)為測(cè)溫元件,它具有如下特點(diǎn):(1)只要求一個(gè)端口即可實(shí)現(xiàn)通信。(2)在 DS18B20 中的每個(gè)器件上都有獨(dú)一無(wú)二的序列號(hào)。(3)實(shí)際應(yīng)用中不需要外部任何元器件即可實(shí)現(xiàn)測(cè)溫。(4)測(cè)量溫度范圍在55到128之間。(5)數(shù)字溫度計(jì)的分辨率用戶可以從 9 位到 12 位選擇。(6)內(nèi)部有溫度上、下限告警設(shè)置。2.32.3 方案論證方案論證本設(shè)計(jì)要求測(cè)量的點(diǎn)數(shù)為 4,測(cè)溫范圍為-55+128,精度為 0.1。采用液晶顯示,同時(shí)顯示路數(shù)和溫度,每秒刷新 1 次顯示數(shù)據(jù)。綜合模擬溫度傳感器和數(shù)字溫度

21、傳感器的性能指標(biāo),以上兩個(gè)方案都能達(dá)到設(shè)計(jì)的要求。方案一采用模擬溫度傳感器 AD590,轉(zhuǎn)換結(jié)果需要經(jīng)過(guò)運(yùn)算放大器和 AD 轉(zhuǎn)換器傳送給處理器。它控制雖然簡(jiǎn)單,成本低,但是后續(xù)電路復(fù)雜,且需要進(jìn)單 片 機(jī)溫度傳感器溫度傳感器LCD顯示電路集成功放報(bào)警器44鍵盤(pán)溫度傳感器DS18B20溫度傳感器溫度傳感器本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 6 頁(yè) 共 61 頁(yè) 行溫度標(biāo)定,集成溫度傳感器 AD590 輸出為電流信號(hào),且輸出信號(hào)較弱,所以需要后續(xù)放大及 A/D 轉(zhuǎn)換電路,如采用普通運(yùn)放則精度難以保證,而測(cè)量放大器價(jià)格較高,這樣會(huì)使系統(tǒng)成本升高。方案二采用了數(shù)字溫度傳感器 DS18B

22、20,改變了傳統(tǒng)溫度測(cè)試方法。它能在現(xiàn)場(chǎng)采集溫度數(shù)據(jù),直接將溫度物理量變換為數(shù)字信號(hào)并以總線方式傳送到單片機(jī)進(jìn)行數(shù)據(jù)處理,并且可根據(jù)實(shí)際要求通過(guò)簡(jiǎn)單的編程實(shí)現(xiàn) 9-12 位的數(shù)字式讀數(shù)方式,因而使用 DS18B20 可使系統(tǒng)結(jié)構(gòu)更趨簡(jiǎn)單,可靠性更高,大大提高系統(tǒng)的抗干擾能力。DS18B20 體積小、經(jīng)濟(jì)、使用方便靈活,測(cè)試精度高,較高的性能價(jià)格比,有 CRC 校驗(yàn),系統(tǒng)簡(jiǎn)明直觀。適合于惡劣環(huán)境的現(xiàn)場(chǎng)溫度測(cè)試,如:環(huán)境控制、設(shè)備或過(guò)程控制、測(cè)溫類(lèi)消費(fèi)電子產(chǎn)品等。方案二程序設(shè)計(jì)稍微復(fù)雜一些,但在畢業(yè)設(shè)計(jì)期間我用 DS18B20 做過(guò)溫度計(jì),也調(diào)試過(guò) LCD,并且已經(jīng)用 PROTEUS 實(shí)現(xiàn)了系統(tǒng)的

23、仿真。因此,該方案完全具有可行性,同時(shí)體現(xiàn)了技術(shù)的先進(jìn)性,經(jīng)濟(jì)上也有很大的優(yōu)勢(shì)。綜上所述,本課題采用方案二對(duì)系統(tǒng)進(jìn)行設(shè)計(jì)。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 7 頁(yè) 共 61 頁(yè) 3 3 電路設(shè)計(jì)電路設(shè)計(jì)3.13.1 工作原理工作原理 基于 DS18B20 多點(diǎn)溫度測(cè)量系統(tǒng)以 AT89C51 為核心器件,以 KEIL 為系統(tǒng)開(kāi)發(fā)平臺(tái),用匯編語(yǔ)言進(jìn)行程序設(shè)計(jì),以 PROTEUS 作為仿真軟件設(shè)計(jì)而成的。系統(tǒng)主要由傳感器電路、液晶顯示電路、鍵盤(pán)電路、報(bào)警電路、電源電路組成,系統(tǒng)原理圖如圖 3.1 所示。圖 3.1 系統(tǒng)原理圖DS18B20 是數(shù)字溫度傳感器,它的輸入/輸出采用數(shù)字量

24、,以單總線技術(shù),接收主機(jī)發(fā)送的命令,根據(jù) DS18B20 內(nèi)部的協(xié)議進(jìn)行相應(yīng)的處理,將轉(zhuǎn)換的溫度以串口發(fā)送給主機(jī)。主機(jī)按照通信協(xié)議用一個(gè) IO 口模擬 DS18B20 的時(shí)序,發(fā)送命令(初始化命令、ROM 命令、RAM 命令)給 DS18B20,轉(zhuǎn)換完成之后讀取溫度值,在內(nèi)部進(jìn)行相應(yīng)的數(shù)值處理,用圖形液晶模塊顯示各點(diǎn)的溫度。在系統(tǒng)啟動(dòng)之時(shí),可以通過(guò) 44 鍵盤(pán)設(shè)置各點(diǎn)溫度的上限值,當(dāng)某點(diǎn)溫度超過(guò)設(shè)置值時(shí),報(bào)警器開(kāi)始報(bào)警,液晶顯示該傳感器的路數(shù)、設(shè)置溫度值、實(shí)際溫度值,從而實(shí)現(xiàn)了對(duì)各點(diǎn)溫度的實(shí)時(shí)監(jiān)控。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 8 頁(yè) 共 61 頁(yè) 每個(gè) DS18B20

25、有自己的序列號(hào),因此本系統(tǒng)可以在一根總線上掛接了 4 個(gè)DS18B20,通過(guò) CRC 校驗(yàn),對(duì)各個(gè) DS18B20 的 ROM 進(jìn)行尋址,地址符合的DS18B20 才作出響應(yīng),接收主機(jī)的命令,向主機(jī)發(fā)送轉(zhuǎn)換的溫度。采用這種DS18B20 尋址技術(shù),使系統(tǒng)硬件電路更加簡(jiǎn)單。3.23.2 DS18B20DS18B20 與單片機(jī)接口技術(shù)與單片機(jī)接口技術(shù)3.2.13.2.1 DS18B20DS18B20 的引腳功能的引腳功能DS18B20 的引腳功能描述見(jiàn)表 3.1。表 3.1DS18B20 引腳功能描述序號(hào)名稱(chēng)引腳功能描述1GND地信號(hào)2DQ數(shù)字輸入輸出引腳,開(kāi)漏單總線接口引腳,當(dāng)使用寄生電源時(shí),可

26、向電源提供電源3VDD可選擇的 VDD 引腳,當(dāng)工作于寄生電源時(shí),該引腳必須接地3.2.23.2.2 DS18B20DS18B20 與單片機(jī)接口與單片機(jī)接口電路電路如圖 3.2 所示,為單片機(jī)與 DS18B20 的接口電路。DS18B20 只有三個(gè)引腳,一個(gè)接地,一個(gè)接電源,一個(gè)數(shù)字輸入輸出引腳接單片機(jī)的 P1.7 口,電源與數(shù)字輸入輸出腳間需要接一個(gè) 4.7K 的電阻。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 9 頁(yè) 共 61 頁(yè) 圖 3.2 DS18B20 與單片機(jī)接口電路3.2.33.2.3 溫度寄存器格式和溫度溫度寄存器格式和溫度/ /數(shù)據(jù)對(duì)應(yīng)關(guān)系數(shù)據(jù)對(duì)應(yīng)關(guān)系DS18B20

27、溫度寄存器如表 3.2 所示。其寄存器有 16 位,高 5 位為符號(hào)位,低13 位為數(shù)據(jù)位。當(dāng)寄存器高 5 位為 1 時(shí),表示溫度為負(fù),否則為正。 表 3.2 溫度寄存器格式 bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0LS Byte 表 3.3 溫度/數(shù)據(jù)的關(guān)系B bit15 bit14 bit13 bit12 bit11 bit10 bit9 bit8MS Byte 如果測(cè)得的溫度大于 0,只要將測(cè)到的數(shù)值乘于 0.0625 即可得到實(shí)際溫度;如果溫度小于 0,測(cè)得的數(shù)值需要取反加 1 再乘 0.0625,即可得到實(shí)際的溫度值。如表 3.3 所示,是 DS

28、18B20 的溫度與數(shù)據(jù)的對(duì)應(yīng)關(guān)系。232221202-12-22-32-4SSSSS262524 DS18B20 單 片 機(jī)P1.7VDDGNDDQ4.7K本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 10 頁(yè) 共 61 頁(yè) 3.2.43.2.4 單片機(jī)對(duì)單片機(jī)對(duì) DS18B20DS18B20 的控制方法的控制方法DS18B20 采用嚴(yán)格的單總線通信協(xié)議,以保證數(shù)據(jù)的完整性。該協(xié)議定義了幾種信號(hào)類(lèi)型:復(fù)位脈沖、應(yīng)答脈沖、寫(xiě) 0、寫(xiě) 1、讀 0 和讀 1。除了應(yīng)答脈沖所有這些信號(hào)都由主機(jī)發(fā)出同步信號(hào)??偩€上傳輸?shù)乃袛?shù)據(jù)和命令都是以字節(jié)為單位。且低位在前,高位在后。(1)初始化序列:復(fù)位

29、脈沖和應(yīng)答脈沖在初始化過(guò)程中,主機(jī)通過(guò)拉低單總線至少 480s,以產(chǎn)生復(fù)位脈沖(TX), 然后主機(jī)釋放總線并進(jìn)入接收(RX)模式。當(dāng)總線被釋放后,5k 的上拉電阻將單總線拉高。DS18B20 檢測(cè)到這個(gè)上升沿后,延時(shí) 15s60s,通過(guò)拉低總線60s240s 產(chǎn)生應(yīng)答脈沖。初始化脈沖如圖 3.3 所示。溫度輸出(2 進(jìn)制)輸出(16 進(jìn)制)+1250000 0111 1101 000007D0H+850000 0101 0101 00000550H+20.06250000 0001 1001 00010191H+10.1250000 0000 1010 001000A2H+0.50000 00

30、00 0000 10000008H00000 0000 0000 00000000H-0.51111 1111 1111 1000FFF8H-10.1251111 1111 0101 1110FF5EH25.06251110 1110 0110 1111EE6FH-551111 1110 1001 0000FE90H本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 11 頁(yè) 共 61 頁(yè) 圖 3.3 DS18B20 初始化時(shí)序(2)DS18B20 的讀寫(xiě)控制在寫(xiě)時(shí)序期間,主機(jī)向 DS18B20 寫(xiě)入數(shù)據(jù);而在讀時(shí)序期間,主機(jī)讀入來(lái)自DS18B20 的數(shù)據(jù)。在每一個(gè)時(shí)序,總線只能傳輸一位數(shù)據(jù)。

31、讀/寫(xiě)時(shí)序如圖 3.4所示。 DS18B20 寫(xiě)時(shí)序DS18B20 存在兩種寫(xiě)時(shí)序:“寫(xiě) 1”和“寫(xiě) 0” 。主機(jī)在寫(xiě) 1 時(shí)序向 DS18B20圖 3.4 DS18B20 讀寫(xiě)時(shí)序?qū)懭脒壿?1,而在寫(xiě) 0 時(shí)序向 DS18B20 寫(xiě)入邏輯 0。所有寫(xiě)時(shí)序至少需要60s,且在兩次寫(xiě)時(shí)序之間至少需要 1s 的恢復(fù)時(shí)間。兩種寫(xiě)時(shí)序均以主機(jī)拉低總線開(kāi)始。產(chǎn)生寫(xiě) 1 時(shí)序:主機(jī)拉低總線后,必須在 15s 內(nèi)釋放總線,然后由上拉電阻將總線拉至高電平。產(chǎn)生寫(xiě) 0 時(shí)序:主機(jī)拉低總線后,必須在整個(gè)時(shí)序期間至少480us至少480us主機(jī)初始化主機(jī)初應(yīng)答Presence pulse60-240us主機(jī)寫(xiě)”0”

32、時(shí)序主機(jī)寫(xiě)”1時(shí)序主機(jī)讀”0”時(shí)序主機(jī)讀”1時(shí)序30us15us15us15us15us30us30us15us15us15us15us30us本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 12 頁(yè) 共 61 頁(yè) 保持低電平(至少 60s) 。在寫(xiě)時(shí)序開(kāi)始后的 15s60s 期間,DS18B20 采樣總線的狀態(tài)。如果總線為高電平,則邏輯 1 被寫(xiě)入 DS18B20;如果總線為低電平,則邏輯 0 被寫(xiě)入DS18B20。 讀時(shí)序DS18B20 只能在主機(jī)發(fā)出讀時(shí)序時(shí)才能向主機(jī)傳送數(shù)據(jù)。所以主機(jī)在發(fā)出讀數(shù)據(jù)命令后,必須馬上產(chǎn)生讀時(shí)序,以便 DS18B20 能夠傳送數(shù)據(jù)。所有讀時(shí)序至少 60s,

33、且在兩次獨(dú)立的讀時(shí)序之間至少需要 1s 的恢復(fù)時(shí)間。每次讀時(shí)序由主機(jī)發(fā)起,拉低總線至少 1s。在主機(jī)發(fā)起讀時(shí)序之后,DS18B20 開(kāi)始在總線上傳送 1 或 0。若 DS18B20 發(fā)送 1,則保持總線為高電平;若發(fā)送 0,則拉低總線。當(dāng)傳送 0 時(shí),DS18B20 在該時(shí)序結(jié)束時(shí)釋放總線,再由上拉電阻將總線拉回空閑高電平狀態(tài)。DS18B20 發(fā)出的數(shù)據(jù)在讀時(shí)序下降沿起始后的 15s 內(nèi)有效,因此主機(jī)必須在讀時(shí)序開(kāi)始后的 15s 內(nèi)釋放總線,并且采樣總線狀態(tài)。 DS18B20 的命令序列根據(jù) DS18B20 的通訊協(xié)議,主機(jī)(單片機(jī))控制 DS18B20 完成溫度轉(zhuǎn)換必須經(jīng)過(guò)三個(gè)步驟:每一次讀

34、寫(xiě)之前都要對(duì) DS18B20 進(jìn)行復(fù)位操作,復(fù)位成功后發(fā)送一條 ROM 指令,最后發(fā)送 RAM 指令,這樣才能對(duì) DS18B20 進(jìn)行預(yù)定的操作。ROM 命令通過(guò)每個(gè)器件 64-bit 的 ROM 碼,使主機(jī)指定某一特定器件(如果有多個(gè)器件掛在總線上)與之進(jìn)行通信。DS18B20 的 ROM 如表 3.4 所示,每個(gè)ROM 命令都是 8 bit 長(zhǎng)。表 3.4 DS18B20 ROM 命令指令協(xié)議功能讀 ROM33H讀 DS18B20 中的編碼(即 64 位地址)符合 ROM55H發(fā)出此命令后,接著發(fā)出 64 位 ROM 編碼,訪問(wèn)單總線上與該編碼相對(duì)應(yīng)的 DS18B20,使之作出響應(yīng),為下一

35、步對(duì)該 DS18B20 的讀寫(xiě)作準(zhǔn)備搜索 ROM0F0H用于確定掛接在同一總線上 DS18B20 的個(gè)數(shù)和識(shí)別 64 位ROM 地址,為操作各器件作好準(zhǔn)備跳過(guò) ROM0CCH忽略 64 位 ROM 地址,直接向 DS18B20 溫度轉(zhuǎn)換命令,適用于單個(gè) DS18B20 工作告警搜索命令0ECH執(zhí)行后,只有溫度超過(guò)廟宇值上限或下限的片子才做出響應(yīng)溫度轉(zhuǎn)換44H啟動(dòng) DS18B20 進(jìn)行溫度轉(zhuǎn)換,轉(zhuǎn)換時(shí)間最長(zhǎng)為 500ms(典型為 200ms),結(jié)果豐入內(nèi)部 9 字節(jié) RAM 中讀暫存器BEH讀內(nèi)部 RAM 中 9 字節(jié)的內(nèi)容本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 13 頁(yè) 共 61

36、頁(yè) 寫(xiě)暫存器4EH發(fā)出向內(nèi)部 RAM 的第 3、4 字節(jié)寫(xiě)上、下溫度數(shù)據(jù)命令,緊該溫度命令之后,傳達(dá)兩字節(jié)的數(shù)據(jù) 復(fù)制暫存器48H將 RAM 中第 3、4 字內(nèi)容復(fù)制到 E2PROM 中重調(diào)E2PROM0B8H將 E2PROM 中內(nèi)容恢復(fù)到 RAM 中的第 3、4 字節(jié)讀供電方式0B4H讀 DS18B20 的供電模式,寄生供電時(shí) DS18B20 發(fā)送“0” ,外部供電時(shí) DS18B20 發(fā)送“1”3.33.3 鍵盤(pán)電路設(shè)計(jì)鍵盤(pán)電路設(shè)計(jì)3.3.13.3.1 行列式鍵盤(pán)與單片機(jī)接口電路行列式鍵盤(pán)與單片機(jī)接口電路根據(jù)本設(shè)計(jì)需要,本系統(tǒng)采用了 44 鍵盤(pán)實(shí)現(xiàn)對(duì)溫度值和功能鍵的設(shè)定。行列式鍵盤(pán)與單片機(jī)的

37、接口電路如圖 3.5 所示,H0-H3 為行線,接單片機(jī)P2 口的高 4 位,L0-L3 為列線,接單片機(jī) P2 口的低 4 位。初始化時(shí)鍵盤(pán)行線為高電平,列線為低電平。鍵盤(pán)的行線接 4 輸入與門(mén),4 輸入與門(mén)的輸出接單片機(jī)的外部中斷 0 引腳 P3.2 口。當(dāng)有鍵按下時(shí),將產(chǎn)生中斷,在中斷程序里對(duì)按鍵進(jìn)行掃描,得到按鍵的鍵值。圖 3.5 44 鍵盤(pán)結(jié)構(gòu)3.3.23.3.2 鍵盤(pán)面板鍵盤(pán)面板鍵盤(pán)面板如圖 3.6 所示,本系統(tǒng)使用的鍵盤(pán)有 10 數(shù)字鍵,5 個(gè)功能按鍵。在系統(tǒng)啟動(dòng)時(shí),先按“設(shè)置”鍵,然后按相應(yīng)的數(shù)字鍵,按“左移”或者“右本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 14 頁(yè)

38、 共 61 頁(yè) 移”鍵改變其他溫度的值。按“確認(rèn)”鍵之后系統(tǒng)正式啟動(dòng)。系統(tǒng)在運(yùn)行過(guò)程之中可以通過(guò)按“重新設(shè)置”鍵,對(duì)溫度重新進(jìn)行設(shè)置。 圖 3.6 鍵盤(pán)面板 3.43.4 顯示電路設(shè)計(jì)顯示電路設(shè)計(jì)3.4.13.4.1 LCDLCD 引腳分布及功能引腳分布及功能(1) 12864 液晶顯示屏共有 20 個(gè)引腳,其引腳名稱(chēng)及引腳編號(hào)的對(duì)應(yīng)關(guān)系如圖 3.7 所示:圖 3.7 12864 液晶顯示模塊引腳分布圖1234567891011121314151617181920VSSVDDVORSR/WEDB0DB7DB6DB5DB4DB3DB2DB1CS1CS2 /RSTVEEAK0213設(shè)置確認(rèn)右移左移

39、重新設(shè)置987645本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 15 頁(yè) 共 61 頁(yè) 本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 16 頁(yè) 共 61 頁(yè) (2)引腳功能如表 3.5 所示:表 3.5 12864 液晶顯示模塊引腳功能引腳符 號(hào)引 腳 功 能引腳符 號(hào)引 腳 功 能1VSS電源地15CS1CS1=1 芯片選擇左邊 64*64點(diǎn)2VDD電源正+5V16CS2CS2=1 芯片選擇右邊 64*64點(diǎn)3VO液晶顯示驅(qū)動(dòng)電源17/RST復(fù)位(低電平有效)4RSH:數(shù)據(jù)輸入;L:指令碼輸入18VEELCD 驅(qū)動(dòng)負(fù)電源5R/WH:數(shù)據(jù)讀取;L:數(shù)據(jù)寫(xiě)入19A背光電源(+)6E

40、使能信號(hào)。20K背光電源(-)7-14DB0-DB7數(shù)據(jù)線有些型號(hào)的模塊 19、20 腳為空腳3.4.23.4.2 單片機(jī)與圖形液晶的接口電路單片機(jī)與圖形液晶的接口電路 LCD 與單片機(jī)的接口電路如圖 3.8 所示:?jiǎn)纹瑱C(jī)對(duì) LCD 的控制方法將在下一章中詳細(xì)介紹。圖 3.8 LCD 與單片機(jī)的接口電路本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 17 頁(yè) 共 61 頁(yè) 3.53.5 報(bào)警電路設(shè)計(jì)報(bào)警電路設(shè)計(jì)本系統(tǒng)設(shè)計(jì)中有報(bào)警器,使用 LM386 作為報(bào)警器的功率放大器,如圖 3.9所示。LM386 是一種音頻集成功放,具有自身功耗低、電壓增益可調(diào)整、電源電壓范圍大、外接元件少和總諧波失

41、真小等優(yōu)點(diǎn),廣泛應(yīng)用于錄音機(jī)和收音機(jī)之中。LM386 的輸入端接單片機(jī)的引腳 P3.4,輸出端接揚(yáng)聲器。當(dāng)實(shí)際溫度超過(guò)設(shè)置的溫度值時(shí),單片機(jī)引腳輸出一定頻率的信號(hào),信號(hào)經(jīng)過(guò)音頻功放放大之后,發(fā)出報(bào)警聲。圖 3.9 LM386 功放電路本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 18 頁(yè) 共 61 頁(yè) 3.63.6 電源電路設(shè)計(jì)電源電路設(shè)計(jì)電源是整個(gè)系統(tǒng)的能量來(lái)源,它直接關(guān)系到系統(tǒng)能否運(yùn)行。在本系統(tǒng)中單片機(jī)、液晶顯示、報(bào)警等電路需要 5V 的電源,因此電路中選用穩(wěn)壓芯片7805,其最大輸出電流為 1.5A,能夠滿足系統(tǒng)的要求,其電路如圖 3.10 所示。圖 3.10 電源電路本本科科畢畢

42、業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 19 頁(yè) 共 61 頁(yè) 4 4 程序設(shè)計(jì)程序設(shè)計(jì)4.14.1 系統(tǒng)資源分配系統(tǒng)資源分配4.1.14.1.1 系統(tǒng)硬件資源分配系統(tǒng)硬件資源分配本系統(tǒng)電路連接及硬件資源分配如圖 4.1 所示。采用 AT89C51 單片機(jī)作為核心器件,DS18B20 作為溫度測(cè)量裝置,通過(guò) AT89C51 的 P1.7 口將溫度值送入單片機(jī)處理,利用 12864LCD 顯示器和 44 鍵盤(pán)作為人機(jī)接口。圖 4.1 系統(tǒng)硬件資源分配4.1.24.1.2 系統(tǒng)軟件資源分配系統(tǒng)軟件資源分配本系統(tǒng)采用了匯編語(yǔ)言進(jìn)行程序設(shè)計(jì),系統(tǒng)自動(dòng)為各個(gè)變量分配內(nèi)存區(qū)域。用戶可以將變量定義在 dat

43、a 區(qū)、IDATA 區(qū)、PDATA 區(qū)、XDATA 區(qū),常量定義在CODE 區(qū)。4.24.2 系統(tǒng)流程設(shè)計(jì)系統(tǒng)流程設(shè)計(jì)4.2.14.2.1 主程序流程設(shè)計(jì)主程序流程設(shè)計(jì)主程序先對(duì)系統(tǒng)資源進(jìn)行初始化,調(diào)用 LCD 顯示子程序,顯示啟動(dòng)畫(huà)面。然后進(jìn)入鍵盤(pán)設(shè)置界面。當(dāng)設(shè)置鍵按下后,開(kāi)始設(shè)置各點(diǎn)的溫度,設(shè)置完之后,如果確認(rèn)鍵按下,則系統(tǒng)開(kāi)始工作。首先調(diào)用 DS18B20 初始化子程序,再發(fā)送ROM 命令,讀取 DS18B20 轉(zhuǎn)換的溫度值。當(dāng)讀取的溫度大于設(shè)置的溫度值時(shí),報(bào)警器開(kāi)始報(bào)警,LCD 顯示溫度的實(shí)際值、設(shè)置值、路數(shù)、狀態(tài)。接下來(lái)對(duì)第二、三、四路溫度進(jìn)行采集,處理,顯示。P0.0P0.7128

44、64LCD顯示模塊P3.4P3.3報(bào)警器P3.5P3.7344鍵盤(pán)P2.0P2.3P2.4P2.744L0L3H0H3P3.2/INT0AT89C51P1.7四輸入與門(mén)DS18B20P3.0P3.12本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 20 頁(yè) 共 61 頁(yè) 主程序流程如圖4.2所示:圖 4.2 主程序流程初始化設(shè)置鍵按下?設(shè)置各點(diǎn)溫度確認(rèn)鍵按下?YN讀取溫度值溫度值高于設(shè)置值調(diào)用LCD顯示報(bào)警YN初始化命令發(fā)送ROM命令匹配第一路讀取溫度值溫度值高于設(shè)置值調(diào)用LCD顯示報(bào)警YN初始化命令發(fā)送ROM命令匹配第二路讀取溫度值溫度值高于設(shè)置值調(diào)用LCD顯示報(bào)警YN初始化命令發(fā)送RO

45、M命令匹配第三路讀取溫度值溫度值高于設(shè)置值調(diào)用LCD顯示報(bào)警YN初始化命令發(fā)送ROM命令匹配第四路開(kāi)始本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 21 頁(yè) 共 61 頁(yè) 4.2.24.2.2 DS18B20DS18B20 程序流程設(shè)計(jì)程序流程設(shè)計(jì)由上一章單片機(jī)對(duì) DS18B20 的控制方法,設(shè)計(jì)出如下程序流程: 圖 4.3 寫(xiě)命令子程序流程圖 圖 4.4 DS18B20 復(fù)位子程序流程圖 圖 4.5 DS18B20 讀溫度子程序流程圖 DQ置1DQ置0延時(shí)573微秒DQ置1延時(shí),等待DS18B20響應(yīng)DQ=0?初始化成功返回DQ初始化不成功YNi=8置DQ0DQ=DAT&0X01DQ置

46、1DQ右移一位i-i=0返回YNi=8DAT右移一位DQ置0DQ置1DQ=1DAT=DAT|0X80讀完8位?NYNY返回DAT本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 22 頁(yè) 共 61 頁(yè) 4.2.34.2.3 顯示程序流程顯示程序流程顯示是實(shí)現(xiàn)人機(jī)對(duì)話的重要部分,在這里選用 12864LCD 顯示器可實(shí)現(xiàn)對(duì)漢字、字符和圖片的顯示, LCD 的引腳功能在上面已經(jīng)做了說(shuō)明,下面是其相關(guān)指令的介紹。(1) 讀取狀態(tài)字當(dāng) R/W=1,D/I=0 時(shí),在 E 信號(hào)為高的作用下,狀態(tài)分別輸出到數(shù)據(jù)總線上。狀態(tài)字是了解模塊當(dāng)前工作狀態(tài)的唯一的信息渠道,在每次對(duì)模塊操作之前,都要讀出狀態(tài)字,判

47、斷 BUSY 是否為“0” 。若不為“0” ,則單片機(jī)需要等待,直至 BUSY =0 為止。(2)顯示開(kāi)關(guān)設(shè)置 D/IR/WDB7DB6DB5DB4DB3DB2DB1DB0000011111DD=1:開(kāi)顯示;D=0 關(guān)顯示。(3)顯示起始行設(shè)置D/IR/WDB7DB6DB5DB4DB3DB2DB1DB00011顯示起始行(063)指令表中 DB5DBO 為顯示起始行的地址,取值在 03FH(164 行)范圍內(nèi),它規(guī)定了顯示屏上最頂一行所對(duì)應(yīng)的顯示存儲(chǔ)器的行地址。(4)頁(yè)面地址設(shè)置 D/IR/WDB7DB6DB5DB4DB3DB2DB1DB00010111Page(07)頁(yè)面地址是 DDRAM

48、的行地址,8 行為一頁(yè),DDRAM 共 64 行即 8 頁(yè),DB2-DB0表示 0-7 頁(yè)。(5)列地址設(shè)置 D/IR/WDB7DB6DB5DB4DB3DB2DB1DB00001Y address(063)D/IR/WDB7DB6DB5DB4DB3DB2DB1DB001BUSY0ONOFFRST0000本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 23 頁(yè) 共 61 頁(yè) 列地址是 DDRAM 的列地址。共 64 列,DB5-DB0 取不同值得到 0-3FH(1-64) ,代表某一頁(yè)面上的某一單元地址,列地址計(jì)數(shù)器在每一次讀寫(xiě)數(shù)據(jù)后它將自動(dòng)加一。(6)寫(xiě)顯示數(shù)據(jù) D/IR/WDB7DB6D

49、B5DB4DB3DB2DB1DB010顯 示 數(shù) 據(jù)該操作將 8 位數(shù)據(jù)寫(xiě)入先前已確定的顯示存儲(chǔ)器的單元內(nèi)。操作完成后列地址計(jì)數(shù)器自動(dòng)加一。 (7)讀顯示數(shù)據(jù) D/IR/WDB7DB6DB5DB4DB3DB2DB1DB011顯 示 數(shù) 據(jù)該操作將 12864 模塊中的 DDRAM 存儲(chǔ)器對(duì)應(yīng)單位中的內(nèi)容讀出,然后列地址計(jì)數(shù)器自動(dòng)加一。根據(jù)上面指令結(jié)合系統(tǒng)要實(shí)行的功能,其顯示子程序流程如圖 4.6 所示。 圖 4.6 顯示子程序流程圖i=0調(diào)用寫(xiě)數(shù)據(jù)子程序i=16?i=i+1NY寫(xiě)入頁(yè)地址寫(xiě)入起始列地址j=j+1j=2?頁(yè)地址加1結(jié)束N調(diào)用寫(xiě)數(shù)據(jù)子程序i=16?i=i+1NY寫(xiě)入起始頁(yè)地址寫(xiě)入起

50、始列地址結(jié)束i=0調(diào)用寫(xiě)數(shù)據(jù)子程序i=128?i=i+1NY寫(xiě)入頁(yè)地址寫(xiě)入起始列地址j=j+1j=8?頁(yè)地址加1結(jié)束Nj=0i=0j=0b) 寫(xiě)16*16漢字子程序流程圖a) 寫(xiě)8*16字符子程序流程圖c) 寫(xiě)圖片子程序流程圖本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 24 頁(yè) 共 61 頁(yè) 4.2.44.2.4 鍵盤(pán)程序程序流程鍵盤(pán)程序程序流程鍵盤(pán)中斷程序是用來(lái)設(shè)在系統(tǒng)起動(dòng)時(shí)各環(huán)境溫度的極值,其程序流程圖如圖4.7 所示:讀P2口高四位數(shù)據(jù)到ROWROW與LINE相與為KEYP2口低四位置1讀P2口低四位數(shù)據(jù)到LINEKEY=0XEE ?KEY=0XEB ?KEY=0XED ?KEY

51、=0XE7 ?KEY=0XDE ?KEY=0XDD ?KEY=0XDB ?KEY=0XD7 ?KEY=0XBD ?KEY=0XBE ?KEY=0XBB ?KEY=0XB7 ?KEY=0X7E ?KEY=0X7D ?KEY=0X7B ?KEY=0X77 ?數(shù)字鍵0數(shù)字鍵1數(shù)字鍵2數(shù)字鍵3數(shù)字鍵4數(shù)字鍵5數(shù)字鍵6數(shù)字鍵7數(shù)字鍵8數(shù)字鍵9設(shè)置功能鍵確認(rèn)功能鍵左移功能鍵右移功能鍵重新設(shè)置功能鍵RETIYNNNNNNNNNNNNNNNYYYYYYYYYYYYYYYP2口高四位置1N本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 25 頁(yè) 共 61 頁(yè) 圖 4.7 鍵盤(pán)程序流程本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)

52、說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 26 頁(yè) 共 61 頁(yè) 4.34.3 程序設(shè)計(jì)程序設(shè)計(jì)4.3.14.3.1 主程序設(shè)計(jì)主程序設(shè)計(jì)系統(tǒng)主程序 :見(jiàn)附錄二。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 27 頁(yè) 共 61 頁(yè) 5 5 系統(tǒng)仿真系統(tǒng)仿真5.15.1 PROTEUSPROTEUS 仿真環(huán)境介紹仿真環(huán)境介紹Proteus 是英國(guó) Labcenter electronics 公司研發(fā)的 EDA 設(shè)計(jì)軟件, 是一個(gè)基于 ProSPICE 混合模型仿真器的,完整的嵌入式系統(tǒng)軟、硬件設(shè)計(jì)仿真平臺(tái)。 Proteus 不僅可以做數(shù)字電路、模擬電路、數(shù)模混合電路的仿真,還可進(jìn)行多種 CPU 的仿真,

53、涵蓋了 51、PIC、AVR、HC11、ARM 等處理器,真正實(shí)現(xiàn)了在計(jì)算機(jī)上從原理設(shè)計(jì)、電路分析、系統(tǒng)仿真、測(cè)試到 PCB 板完整的電子設(shè)計(jì),實(shí)現(xiàn)了從概念到產(chǎn)品的全過(guò)程。下面介紹一下 PROTEUS 的編輯環(huán)境。(1)工作界面Proteus ISIS 的工作界面是一種標(biāo)準(zhǔn)的 Windows 界面,如圖 5.1 所示。包括:標(biāo)題欄、主菜單、標(biāo)準(zhǔn)工具欄、繪圖工具欄、狀態(tài)欄、對(duì)象選擇按鈕、預(yù)覽對(duì)象方位控制按鈕、仿真進(jìn)程控制按鈕、預(yù)覽窗口、對(duì)象選擇器窗口、圖形編輯窗口。 圖 5.1 Proteus ISIS 的工作界面本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 28 頁(yè) 共 61 頁(yè) (2)

54、 主菜單PROTEUS 包括 File、 Edit、View 等 12 個(gè)菜單欄,如圖 5.2 所示。每個(gè)菜單欄又有自己的菜單,PROTEUS 的菜單欄完全符合 WINDOWS 操作風(fēng)格。圖 5.2 Proteus ISIS 的菜單欄(3) 工具欄工具欄包括菜單欄下面的標(biāo)準(zhǔn)工具欄和圖 5.1 右邊的繪圖工具欄,標(biāo)準(zhǔn)工具欄的內(nèi)容與菜單欄的內(nèi)容一一對(duì)應(yīng),繪圖工具欄有豐富的操作工具,選擇不同的按紐會(huì)得到不同的工具。5.25.2 原理圖繪制原理圖繪制(1)新建文件:打開(kāi) PROTEUS, 點(diǎn) FILE,在彈出的下拉菜單中選擇 NEW DESIGN,在彈出的圖幅選擇對(duì)話框中選 Landscape A4。

55、(2)元器件選?。喊丛O(shè)計(jì)要求,在對(duì)象選擇窗口中點(diǎn) P,彈出 PICK DEVICES 對(duì)話框,在 KEYWORDS 中填寫(xiě)要選擇的元器件,然后在右邊對(duì)話框中選中要選的元器件,則元器件列在對(duì)象選擇的窗口中。本設(shè)計(jì)所需選用的元器件如下: AT89C51:單片機(jī) LM016L:液晶顯示器 RES、RESPACK-8:電阻、上拉電阻 CRYSTAL:晶振 CAP、CAP-ELEC:電容、電解電容 DS18B20:數(shù)字溫度傳感器 BUTTON:按鍵(3)放置元器件、電源和地、連線,得到如圖 5.3 所示的系統(tǒng)電路圖,最后進(jìn)行電氣檢測(cè)。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 29 頁(yè) 共 61

56、 頁(yè) 圖 5.3 系統(tǒng)電路仿真圖5.35.3 程序加載程序加載5.3.15.3.1 程序編譯程序編譯(1)啟動(dòng) KEIL,選擇 Project-New Project,在彈出的對(duì)話框中輸入工程的名字,點(diǎn)擊保存;選擇 ATMEL 公司的 AT89C51 單片機(jī)。(2)在 Source Group 1 上點(diǎn)擊右鍵,選擇“Add Files to Group Source Group 1 ” ,把所有的源文件加進(jìn)來(lái)。(3)點(diǎn)擊 Project-Build target 或者使用快捷鍵 F9,編譯工程。當(dāng)Output Windows 中提示“0 Error”時(shí),則程序編譯成功,生成可執(zhí)行文件1.HEX

57、。5.3.25.3.2 程序加載程序加載在編輯環(huán)境中雙擊 AT89C51,在彈出的對(duì)話框中將編譯生成可執(zhí)行文件1.HEX 加載進(jìn)芯片中,設(shè)單片機(jī)的時(shí)鐘工作頻率為 12MHZ。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 30 頁(yè) 共 61 頁(yè) 5.45.4 系統(tǒng)仿真系統(tǒng)仿真點(diǎn)擊全速運(yùn)行按鈕,將出現(xiàn)如下仿真結(jié)果:(1)系統(tǒng)的啟動(dòng)在系統(tǒng)的啟動(dòng)過(guò)程之中,液晶將會(huì)顯示我的名字及揚(yáng)州職大的漢語(yǔ)拼音。圖 5.4 系統(tǒng)啟動(dòng)時(shí)初始顯示仿真圖(2)溫度值的設(shè)定系統(tǒng)程序?qū)Νh(huán)境的正常溫度的極值進(jìn)行設(shè)定,當(dāng)環(huán)境的實(shí)際溫度超過(guò)設(shè)定的這個(gè)極值時(shí),系統(tǒng)將會(huì)報(bào)警,并在液晶顯示屏上顯示 H 。 (3)系統(tǒng)運(yùn)行系統(tǒng)運(yùn)行之后

58、,按下相應(yīng)路數(shù)的按鍵,DS18B20 開(kāi)始對(duì)相應(yīng)路數(shù)的環(huán)境進(jìn)行測(cè)量,將環(huán)境的實(shí)際的溫度顯示在液晶屏上,同時(shí)液晶顯示屏還顯示環(huán)境溫度的路數(shù)。當(dāng)環(huán)境的實(shí)際溫度超過(guò)設(shè)定的這個(gè)極值時(shí),系統(tǒng)將會(huì)報(bào)警,并在液晶顯示屏上顯示 H 。在 PROTEUS 仿真過(guò)程之中,可以通過(guò)調(diào)節(jié) DS18B20 的面板上的“”或者“” ,改變 DS18B20 測(cè)量的實(shí)際溫度。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 31 頁(yè) 共 61 頁(yè) 圖 5.5 第 1 路仿真結(jié)果本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 32 頁(yè) 共 61 頁(yè) 圖 5.6 第 2 路仿真結(jié)果本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論

59、文文)第 33 頁(yè) 共 61 頁(yè) 圖 5.7 第 3 路仿真結(jié)果本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 34 頁(yè) 共 61 頁(yè) 圖 5.8 第 4 路仿真結(jié)果本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 35 頁(yè) 共 61 頁(yè) 5.55.5 仿真結(jié)果分析仿真結(jié)果分析由以上仿真結(jié)果可知,當(dāng) DS18B20 的實(shí)際溫度大于設(shè)置溫度時(shí),報(bào)警器開(kāi)始報(bào)警,否則處于正常狀態(tài)。溫度測(cè)量范圍為-55128,能夠達(dá)到設(shè)計(jì)的要求:-2080。溫度測(cè)量的精度為 0.1,滿足設(shè)計(jì)的要求。LCD 屏幕每秒刷新一次,顯示格式也達(dá)到了設(shè)計(jì)的要求。表 5.1 系統(tǒng)仿真結(jié)果路數(shù)設(shè)置溫度實(shí)際溫度狀態(tài)第一路-20

60、80-24.8報(bào)警第二路-2080-14.8正常第三路-208084.1報(bào)警第四路-208040.6正常本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 36 頁(yè) 共 61 頁(yè) 結(jié)束語(yǔ)結(jié)束語(yǔ)通過(guò)這次的畢業(yè)設(shè)計(jì),使我對(duì)大學(xué)四年中所學(xué)的專(zhuān)業(yè)知識(shí)進(jìn)行了綜合的銜接和運(yùn)用。在工業(yè)生產(chǎn)和實(shí)驗(yàn)研究中,在電力、化工、石油、冶金、機(jī)械制造、大型倉(cāng)儲(chǔ)室、實(shí)驗(yàn)室、農(nóng)場(chǎng)塑料大棚甚至人們的居室里經(jīng)常需要對(duì)環(huán)境溫度進(jìn)行檢測(cè),并根據(jù)實(shí)際的要求對(duì)環(huán)境溫度進(jìn)行控制。我對(duì)此只是做一個(gè)簡(jiǎn)單的設(shè)計(jì)。畢業(yè)設(shè)計(jì)作為綜合性的設(shè)計(jì),它不同于以前教學(xué)中的實(shí)驗(yàn)、課程設(shè)計(jì)等實(shí)踐環(huán)節(jié)。以前所做的一些設(shè)計(jì)主要是根據(jù)相關(guān)的課本及老師所給資料去完成的,

61、有一定的參照性,所以相對(duì)而言比較簡(jiǎn)單,不能完全達(dá)到鍛煉自己動(dòng)手能力的目的。而畢業(yè)設(shè)計(jì)則是對(duì)我們大學(xué)四年所學(xué)知識(shí)的一個(gè)綜合的訓(xùn)練及考核,是對(duì)所學(xué)知識(shí)的應(yīng)用能力和大學(xué)所學(xué)理論知識(shí)對(duì)實(shí)踐技能相結(jié)合的全面的檢驗(yàn)。并對(duì)我們?nèi)绾胃鶕?jù)要做的課題對(duì)現(xiàn)有的資料進(jìn)行理解和運(yùn)用的能力的考核。真正做到了理論聯(lián)系實(shí)際,把以前所學(xué)的知識(shí)綜合貫通進(jìn)行實(shí)踐,并在實(shí)踐中不斷學(xué)習(xí)和自我完善。從剛確定畢業(yè)設(shè)計(jì)課題以來(lái),我首先是查找一些相關(guān)的書(shū)籍及資料,然后分析設(shè)計(jì),并根據(jù)實(shí)際情況擬定設(shè)計(jì)方案,從而達(dá)到優(yōu)化方案。同時(shí),通過(guò)這次畢業(yè)設(shè)計(jì),我們?cè)诟鱾€(gè)方面都有了很大的提高,特別是在理論和實(shí)踐結(jié)合方面使我們受益匪淺,使大學(xué)里學(xué)習(xí)的理論知識(shí)在

62、根本上得到一次最完整的實(shí)踐和提高。也為我即將面臨的工作奠定了很好的基礎(chǔ)。同時(shí),在本次畢業(yè)設(shè)計(jì)中深深認(rèn)識(shí)到自己的各個(gè)方面的不足之處,本著檢測(cè)四年所學(xué)知識(shí)的目的,我嚴(yán)格要求自己,每一環(huán)節(jié)都認(rèn)真對(duì)待,定期向知道老師報(bào)告進(jìn)展情況和請(qǐng)教不懂的地方,得以完成任務(wù)。通過(guò)畢業(yè)設(shè)計(jì),我深刻體會(huì)到要做好一個(gè)完整的事情,需要有系統(tǒng)的思維方式和方法,對(duì)待要解決的問(wèn)題,要耐心,要善于運(yùn)用已有的資源完善自己。本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 37 頁(yè) 共 61 頁(yè) 致致 謝謝在這里我要感謝所有曾經(jīng)關(guān)心和幫助過(guò)我的人,這篇論文的完成和他們中的任何一位都是分不開(kāi)的。首先,我要向謝聰老師和其他老師致以由衷的感

63、謝和誠(chéng)摯的敬意,老師們?yōu)槲覀兲峁┝嗽S多巧妙的設(shè)計(jì)思想。 轉(zhuǎn)眼間已經(jīng)在美麗的揚(yáng)州職業(yè)大學(xué)度過(guò)了第四個(gè)春秋,這四年是我人生中很重要的四年,這里留下了我求學(xué)的足跡,這里見(jiàn)證了我成長(zhǎng)的點(diǎn)滴。在畢業(yè)設(shè)計(jì)完成之際,我衷心的感謝曾經(jīng)給我?guī)椭?、支持、鼓?lì)的所有老師和同學(xué)。 本次設(shè)計(jì)是在我的導(dǎo)師謝老師的指導(dǎo)下完成的,從最初我對(duì)本次設(shè)計(jì)的不了解到能夠整體把握再到比較順利的完成本次設(shè)計(jì),這一步一步的走來(lái),其中都包含了謝老師耐心的指引和教導(dǎo)。在這篇論文的完成過(guò)程中他始終給予了我無(wú)私的熱情和幫助。開(kāi)始他悉心指導(dǎo)我的選題工作,在做開(kāi)題報(bào)告的過(guò)程中,就反復(fù)而又耐心的給我指出問(wèn)題的所在,并幫助我糾正許多不妥之處。在做設(shè)計(jì)編程

64、這一過(guò)程中,也給我提出了許多意見(jiàn)和建議,并交給了我許多理解問(wèn)題的技巧和方法。正是在老師的反復(fù)指導(dǎo)和耐心幫助之下,我才能順利的完成畢業(yè)論文。在寫(xiě)這篇畢業(yè)設(shè)計(jì)論文的過(guò)程中,我也遇到了許多的困難,之所以能堅(jiān)持至今,這都離不開(kāi)我的導(dǎo)師和同學(xué)的幫助??梢哉f(shuō)我的論文凝結(jié)了老師的辛勤汗水,謝老師的淵博知識(shí)和對(duì)學(xué)生的負(fù)責(zé)的態(tài)度深深地影響了我,也將永遠(yuǎn)是我學(xué)習(xí)的榜樣。在寫(xiě)本次畢業(yè)論文期間,我還得到了同學(xué)的幫助,在此也對(duì)他們表示衷心的感謝,感謝他們能在自己繁忙的工作中還給予了我這么多的幫助,并使我深切地感受到你們給我的溫暖,這也將留給了我許多美好的回憶。最后,感謝我的母校;感謝在這四年來(lái)教給我知識(shí)的所有老師;也感

65、謝所有在本次畢業(yè)設(shè)計(jì)中給過(guò)我?guī)椭睦蠋?、同學(xué),是你們的鼓勵(lì)、支持和幫助,才使得我能堅(jiān)持完成畢業(yè)論文。衷心祝愿南京理工大學(xué)明天會(huì)更好! 愿各位老師們都能幸福安康!愿各位同學(xué)們前程似錦,策馬平川!本本科科畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)說(shuō)說(shuō)明明書(shū)書(shū)(論論文文)第 38 頁(yè) 共 61 頁(yè) 參考文獻(xiàn)參考文獻(xiàn)1 賈振國(guó) 主編. DS18B20 及高精度溫度測(cè)量的實(shí)現(xiàn)(第3版)J.電子技術(shù)應(yīng)用,2006.12 吳志忠,王克家,吳利予,劉彤 著. 一種基于單線數(shù)字溫度傳感器DS18B20的儲(chǔ)糧溫度檢測(cè)系統(tǒng)的設(shè)計(jì)J .應(yīng)用科技,2008.07. 3 韓志軍,劉新民 著. 數(shù)字溫度傳感器DS18B20及其應(yīng)用J .南京工程學(xué)院

66、學(xué)報(bào)(自然科學(xué)版) ,2009.01. 4 曲喜貴 主編. 電子元件材料手冊(cè) M. 北京:電子工業(yè)出版社,1989.15 黃賢武,鄭筱霞,曲波等 主編. 傳感器實(shí)際應(yīng)用電路設(shè)計(jì)M. 成都:電子科技大學(xué)出版社,2004.46 吳永春 著. 單總線數(shù)字溫度傳感器DS18B20及其在單片機(jī)系統(tǒng)的應(yīng)用J.三明高等專(zhuān)科學(xué)校學(xué)報(bào), 2010.02.7余永權(quán) 主編. Flash 單片機(jī)原理及應(yīng)用 M. 北京:電子工業(yè)出版社,2005.48 邦田 主編.電子電路實(shí)用抗干擾技術(shù) M . 北京:人民郵電出版社,2006.89 周云波 主編.由DS18B20單線數(shù)字溫度計(jì)構(gòu)成的單線多點(diǎn)溫度測(cè)量系統(tǒng). 電子技術(shù)應(yīng)用, 2005.2.10 Accomplishment of Measuring Temperature with New Type of Temperature Sensor, 2005.2.11 振國(guó) 主編. DS1820 及高精度溫度測(cè)量的實(shí)現(xiàn) J . 電子技術(shù)應(yīng)用,2000.1.12 東耀,汪仁煌 著. 數(shù)字溫度傳感器在倉(cāng)庫(kù)溫度檢測(cè)系統(tǒng)的應(yīng)用J. 傳感器世界,2007.1213 The DS1

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!