九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

數(shù)電課程設計 數(shù)字時鐘

上傳人:優(yōu)*** 文檔編號:31882974 上傳時間:2021-10-13 格式:DOC 頁數(shù):32 大?。?.01MB
收藏 版權申訴 舉報 下載
數(shù)電課程設計 數(shù)字時鐘_第1頁
第1頁 / 共32頁
數(shù)電課程設計 數(shù)字時鐘_第2頁
第2頁 / 共32頁
數(shù)電課程設計 數(shù)字時鐘_第3頁
第3頁 / 共32頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《數(shù)電課程設計 數(shù)字時鐘》由會員分享,可在線閱讀,更多相關《數(shù)電課程設計 數(shù)字時鐘(32頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、傳播優(yōu)秀Word版文檔 ,希望對您有幫助,可雙擊去除!機電工程學院本科生課程設計題 目: 數(shù)字時鐘 課 程: 數(shù)字電子技術 專 業(yè): 電氣工程及其自動化 班 級: 學 號: 姓 名: 指導教師: 完成日期: - 24 - / 32 任務書課程設計 數(shù)字電子技術設計課題 數(shù)字時鐘姓名 院系機電工程學院電氣系班級指導老師 設計任務制作數(shù)字時鐘設計要求1、準確計時,用數(shù)碼管顯示小時、分和秒;2、小時以24小時計時;3、帶有時間校正功能;4、“鬧鐘”功能;設計步驟1、了解數(shù)字時鐘的原理;2、畫出設計的數(shù)字時鐘電路原理圖和各部分電路圖;3、選擇好元器件及給出參數(shù),在原理圖中反映出來;4、用仿真軟件Mut

2、isim進行電路工作情況模擬仿真;5、編寫課程設計報告;工作過程1、在電腦和圖書館查閱資料,了解數(shù)字時鐘原理和相關信息2、畫出設計的數(shù)字時鐘電路原理圖和各部分電路圖;3、選擇好元器件及給出參數(shù),在原理圖中反映出來。4、將各元件連接起來,用仿真軟件Mutisim進行電路工作情況模擬仿真;5、編寫課程設計報告;目 錄1設計的目的及任務(1)1.1 課程設計的目的(1)1.2 課程設計的任務與要求(1)1.3 課程設計的技術指標(1)2 數(shù)字時鐘的介紹和原理(2)2.1 數(shù)字時鐘的介紹(2)2.2 數(shù)字時鐘的電路組成(2)2.3 數(shù)字時鐘的工作原理(3)3 數(shù)字時鐘總設計方案和各部分電路設計方案 (

3、4)3.1 數(shù)字時鐘總設計方案 (4)3.2 各部分電路設計方案(5)3.3 總電路設計圖(17)4 電路仿真 (17)5收獲與體會(24)6 儀器儀表明細清單 (24)參考文獻 (25)1.設計的目的及任務1.1 課程設計的目的(1)鞏固所學的相關理論知識;(2)實踐所掌握的電子制作技能;(3)會運用Mutisim工具對所作出的理論設計進行模擬仿真測試,進一步完善理論設計;(4)通過查閱手冊和文獻資料,熟悉常用電子器件的類型和特性,并掌握合理選用元器件的原則;(5)掌握模擬電路的安裝測量與調試的基本技能,熟悉電子儀器的正確使用方法,能力(6)分析實驗中出現(xiàn)的正?;虿徽,F(xiàn)象(或數(shù)據(jù))獨立解決

4、調試中所發(fā)生的問題;(7)學會撰寫課程設計報告; 1.2 課程設計的任務與要求(1) 根據(jù)技術指標要求及實驗室條件設計出電路圖,分析工作原理,計算元件參數(shù);(2) 列出所有元器件清單;(3) 安裝調試所設計的電路,達到設計要求;(4) 記錄實驗結果。1.3 課程設計的技術指標(1)準確計時,用數(shù)碼管顯示小時、分和秒;(2)小時以24小時計時;(3)帶有時間校正功能;(4)“鬧鐘”功能;2.數(shù)字時鐘的介紹和原理2.1 數(shù)字時鐘的介紹 數(shù)字時鐘是一種用數(shù)字電路技術實現(xiàn)時、分、秒計時的裝置,與機械式時鐘相比具有更高的準確性和直觀性,且無機械裝置,具有更長的使用壽命,已得到廣泛的使用。數(shù)字時鐘的設計方

5、法有許多種,例如,可用中小規(guī)模集成電路組成電子鐘;也可以利用專用的電子鐘芯片配以顯示電路及其所需要的外圍電路組成電子鐘;還可以利用單片機來實現(xiàn)電子鐘等等。數(shù)字時鐘的組成一般由計數(shù)器、譯碼器、顯示器、振蕩器及分頻器等幾部分組成。振蕩器產生的時標信號送入分頻器,分頻器將其送入的時標信號分頻成秒脈沖信號。再把秒脈沖送入計數(shù)器進行計數(shù),并把累計的計數(shù)結果以“時”、“分”、“秒”的數(shù)字顯示出來?!懊搿钡娘@示由二級計數(shù)器和譯碼器組成六十進制計數(shù)器電路來實現(xiàn),“分”的顯示電路與秒相同。“時”的顯示由二級計數(shù)器和譯碼器組成的二十四進制計數(shù)器電路來實現(xiàn)。數(shù)字鐘已成為人們日常生活中必不可少的物品,廣泛用于個人家庭

6、以及車站、碼頭、劇場、辦公室等公共場所,給人們的生活、學習、工作、娛樂帶來極大的方便。鐘表的數(shù)字化給人們生產生活帶來了極大的方便。它擴展了鐘表原有的報時功能,諸如定時自動報警、按時自動打鈴、時間程序自動控制、定時廣播、定時啟閉電路、定時開關烘箱、通斷動力設備,甚至各種定時電氣的自動啟用等,這些都是以鐘表數(shù)字化為基礎的。因此,研究數(shù)字電子鐘以及擴大其在生活中的應用,有著非?,F(xiàn)實的意義。盡管目前市場上已有現(xiàn)成的數(shù)字鐘集成電路芯片,價格便宜,使用也非常方便。鑒于數(shù)字鐘電路的基本組成包含了數(shù)字電路的主要組成部分,為了幫助同學們將已經學過的比較零散的數(shù)字電路的知識能夠有機的、系統(tǒng)地聯(lián)系起來用于實際,培養(yǎng)

7、綜合分析、設計電路的能力,進行數(shù)字鐘的設計是必要的。2.2 數(shù)字時鐘的電路組成數(shù)字時鐘是用數(shù)字集成電路構成,用數(shù)碼顯示的一種現(xiàn)代化計數(shù)器。本系統(tǒng)由振蕩器、分頻器、校時電路、計數(shù)器、譯碼顯示器以及電源電路組成。秒脈沖發(fā)生電路產生秒脈沖信號,不同進制的計數(shù)器、譯碼器和顯示器組成計時系統(tǒng),通過校時電路實現(xiàn)對時、分的校準,電源電路提供穩(wěn)定的+5v的電壓。2.3 數(shù)字時鐘的工作原理 數(shù)字時鐘實際上是一個對1HZ頻率進行計數(shù)的計數(shù)電路。由于計數(shù)的起始時間不可能與標準時間一致,故需要在電路上加一個校時電路,同時標準的1HZ時間信號必須做到準確穩(wěn)定。通常使用石英晶體振蕩器電路構成數(shù)字時鐘,但是出于對材料和成本

8、的考慮,我們決定設計較簡單的中小規(guī)模集成電路組成電子鐘,采用由555定時器和RC電路構成振蕩器的方案。圖 1系統(tǒng)原理框圖(1)振蕩器電路:一般說來,振蕩器的頻率越高,計時精度越高。本設計中采用由集成定時器555與RC組成的多諧振蕩器,經過調整輸出1000Hz脈沖。 (2)分頻器電路:分頻器電路將1000HZ的方波信號經1000次分頻后得到1Hz的方波信號供秒計數(shù)器進行計數(shù)。分頻器實際上也就是計數(shù)器。(3)時間計數(shù)器電路:時間計數(shù)電路由秒個位和秒十位計數(shù)器、分個位和分十位計數(shù)器及時個位和時十位計數(shù)器電路構成,其中秒個位和秒十位計數(shù)器、分個位和分十位計數(shù)器為60進制計數(shù)器,時個位和時十位計數(shù)器為2

9、4進制計數(shù)器。(4)譯碼顯示電路:譯碼顯示電路將計數(shù)器輸出的8421BCD碼轉換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流,我們采用自帶譯碼功能的數(shù)碼管。(5)整點報時電路:一般時鐘都應具備整點報時電路功能,即在時間出現(xiàn)整點前數(shù)秒內,數(shù)字鐘會自動報時。其作用方式是在整點前的十秒內,出現(xiàn)奇數(shù)秒時報時燈發(fā)光,從而實現(xiàn)在最后十秒內閃爍五次,以示提醒。(6)校時電路:由于數(shù)字鐘的初始時間不一定是標準時間,而且在數(shù)字鐘的運行過程中可能出現(xiàn)誤差,所以需要校時電路來對“時、分”顯示數(shù)字進行校對調整。3.數(shù)字時鐘總設計方案和各部分設計方案3.1 數(shù)字時鐘總設計方案數(shù)字時鐘有振蕩器、分頻

10、器、計數(shù)器、譯碼顯示、報時等電路組成。其中,振蕩器和分頻器組成標準秒信號發(fā)生器,直接決定計時系統(tǒng)的精度。由不同進制的計數(shù)器、譯碼器和顯示器組成計時系統(tǒng)。將標準秒信號送入采用六十進制的“秒計數(shù)器”,每秒計60s就發(fā)出一個“分脈沖”信號,該信號將作為“分計數(shù)器”的時鐘脈沖?!胺钟嫈?shù)器”也采用六十進制計數(shù)器,每累計60min,發(fā)出一個“時脈沖”信號,該信號將被送到“時計數(shù)器”。“時計數(shù)器”采用二十四或十二進制計時器,可實現(xiàn)對一天24h或12h的累計。譯碼顯示電路將“時”、“分”、“秒”計數(shù)器的輸出狀態(tài)通過六位七段譯碼顯示器顯示出來,可進行整點報時,計時出現(xiàn)誤差時,可以用校時電路校時、校分。數(shù)字時鐘的

11、原理框圖如下圖2.1所示。圖2.1 數(shù)字時鐘的原理框圖3.2 各部分電路設計方案3.2.1 秒脈沖產生電路秒脈沖產生電路的功能是產生標準秒脈沖信號,主要由振蕩器和分頻器組成。振蕩器是計數(shù)器的核心,振蕩器的穩(wěn)定度和頻率的精準度決定了計時器的準確度,可由石英晶體振蕩電路或555定時器與RC組成的多諧振蕩器構成。一般來說,振蕩器的頻率越高,計時的精度就越高,但耗電量將增大,故設計時一定要根據(jù)需要設計出最佳的電路。石英晶體振蕩器具有頻率準確、振蕩穩(wěn)定、溫度系數(shù)小的特點,但是如果精度要求不高的話可以采用555構成的多諧振蕩器。秒脈沖產生電路在此例中的主要功能有兩個:一是產生標準秒脈沖信號,二是可提供整點

12、報時所需要的頻率信號。在下面電路設計中,為了簡化電路,秒脈沖產生電路用一個1Hz的秒脈沖時鐘信號源替代。3.2.1.1 555構成的多諧振蕩器秒脈沖產生電路主要是由一個555定時器和三個十進制計數(shù)器74160構成。其中,555定時器與RC組成多諧振蕩器,三個計數(shù)器74160組成分頻器。其邏輯圖如圖3.2.1.1所示。圖3.2.1.1 555構成的多諧振蕩器而成的秒脈沖產生電路邏輯圖其中555定時器的引腳圖和功能表如圖3.2和圖3.3所示,其中選取R1=R2=1k,C1=470nF,C2=10nF,從而多諧振蕩器的頻率為:圖3.2.1.2 555定時器的引腳圖圖3.2.1.3 555定時器的功能

13、表 圖3.2.1.4 計數(shù)器74160的引腳圖 圖3.2.1.5 計數(shù)器74160的邏輯圖圖3.2.1.6 計數(shù)器74160的功能表160為可預置的十進制同步計數(shù)器,共有 74160和74LS160兩種線路結構型式,其管腳圖如圖3.2.1.4所示, 160 的清除端是異步的,當清除端/MR為低電平時,不管時鐘端CP狀態(tài)如何,即可完成清除功能。160的預置是同步的。當置入控制器/PE為低電平時,在CP上升沿作用下,輸出端Q0Q3與數(shù)據(jù)輸入端P0P3一致。當CP由低至高跳變或跳變前,如果計數(shù)控制端CEP、CET為高電平,則/PE 應避免由低至高電平的跳變。160 的計數(shù)是同步的??緾P同時加在四個

14、觸發(fā)器上而實現(xiàn)的。當CEP、CET 均為高電平時,在CP上升沿作用下Q0Q3同時變化,從而消除了異步計數(shù)器中出現(xiàn)的計數(shù)尖峰。對于74160,只有當 CP 為高電平時,CEP、CET 才允許由高至低電平的跳變。160有超前進位功能。當計數(shù)溢出時,進位輸出端(TC)輸出一個高電平脈沖,其寬度為 Q0 的高電平部分。在不外加門電路的情況下,可級聯(lián)成N 位同步計數(shù)器。計數(shù)器74160的引出端符號如下:電路中多諧振蕩器輸出的是1kHz的脈沖信號,此信號作為第一級計數(shù)器的時鐘信號。計數(shù)器的四個使能端ENP、ENT、LOAD、CLR均接高電平、由于74160是十進制計數(shù)器,因此計數(shù)器每計數(shù)滿10次有一個進位

15、信號,此信號即為第一級計數(shù)器分頻后得到的100Hz的脈沖信號,將這個信號接在下一級計數(shù)器的時鐘信號端CLK則可實現(xiàn)繼續(xù)分頻,經過兩個74160逐級分頻后依次得到10Hz和1Hz的脈沖信號。其電路仿真圖如圖3.2.1.7所示,用一個四通道的示波器可以清楚看到四個脈沖信號的波形如圖3.2.1.8所示。圖3.2.1.7 秒脈沖產生電路仿真圖圖3.2.1.8 千分頻秒脈沖信號仿真波形3.2.2 計數(shù)器電路根據(jù)數(shù)字時鐘的原理框圖2.1可知,整個計數(shù)器電路由秒計數(shù)器、分計數(shù)器和時計數(shù)器串接而成。秒脈沖信號經過6級計數(shù)器,分別得到秒個位、秒十位、分個位、分十位以及時個位、時十位的計時。顯示6位的“時”、“分

16、”、“秒”需要6片中規(guī)模的計數(shù)器。其中,秒計數(shù)器和分計數(shù)器都是六十進制,時計數(shù)器為二十四/十二進制,都選用74160來實現(xiàn)。實現(xiàn)的方法采用反饋清零法。3.2.2.1 六十進制計數(shù)電路秒計數(shù)器和分計數(shù)器各由一個十進制計數(shù)器(個位)和一個六進制計數(shù)器(十位)串接組成,形成兩個六十進制計數(shù)器,其中個位計數(shù)器接成十進制形式。十位計數(shù)器選擇QB與QC端做反饋端,經與非門輸出至控制清零端CLR,接成六進制計數(shù)形式(計數(shù)至0110時清零)。個位與十位計數(shù)器之間采用同步級聯(lián)復位方式,將個位計數(shù)器的進位輸出端RCO接至十位計數(shù)器的時鐘信號輸入端CLK,完成個位對十位計數(shù)器的進位控制。將十位計時器的反饋清零信號經

17、非門輸出,作為六十進制的進位輸出脈沖信號,即當計數(shù)器計數(shù)至60時,反饋清零的低電平信號輸入CLR端,同時經非門變?yōu)楦唠娖?,在同步級?lián)方式下,控制高位計數(shù)器的計數(shù)。創(chuàng)建如圖3.2.2.1所示的電路,IO1IO4是個位數(shù)碼管的顯示輸出端,IO5IO8是十位數(shù)碼管的顯示輸出端,IO9接電源,給兩個芯片的使能端提供高電平,IO10在此電路作為秒計數(shù)電路時接秒信號產生電路,作為分計數(shù)電路時接秒計數(shù)電路提供過來的進位信號(即接至秒計數(shù)器的CLR端)。IO11作為低位計數(shù)器的進位輸出,與高位計數(shù)器的時鐘信號端相連。圖3.2.2.1六十進制計數(shù)電路3.2.2.2 二十四/十二進制計數(shù)電路創(chuàng)建如圖3.2.2.2

18、所示的電路,IO1IO4是個位數(shù)碼管的顯示輸出端,IO5IO8是十位數(shù)碼管的顯示輸出端,IO9接電源,給兩個芯片的使能端提供高電平,IO10接分計數(shù)電路提供過來的進位信號(即接至分計數(shù)器的CLR端)。IO11連接了兩個計數(shù)器的清零端,因此可以通過雙向開關接IO12和IO13以實現(xiàn)對與非門的選擇,從而完成進制的轉換。分計數(shù)器需要的是一個二十四/十二進制轉換的遞增計數(shù)電路。個位和十位計數(shù)器均連接成十進制計數(shù)形式,采用同步級聯(lián)復位方式。將個位計數(shù)器進位輸出端RCO接至十位計數(shù)器的時鐘信號輸入端CLK,完成個位對十位計數(shù)器的進位控制。若選擇二十四進制,十位計數(shù)器的輸出端QB和個位計數(shù)器的輸出端QC通過

19、與非門控制兩片計數(shù)器的清零端CLR,當計數(shù)器的輸出狀態(tài)為00100100時,立即反饋清零,從而實現(xiàn)二十四進制遞增計數(shù)。若選擇十二進制,十位計數(shù)器的輸出端QA和個位計數(shù)器的輸出端QB通過與非門控制兩片計數(shù)器的清零端CLR,當計數(shù)器的輸出狀態(tài)為00010010時,立即反饋清零,從而實現(xiàn)十二進制遞增計數(shù)。兩個與非門通過一個雙向開關接至兩片計數(shù)器的清零端CLR,單擊開關就可以選擇與非門的輸出,實現(xiàn)二十四進制或十二進制遞增計數(shù)的轉換。圖3.2.2.2二十四/十二進制計數(shù)電路3.2.3 譯碼顯示電路采用共陰極七段數(shù)碼管將譯碼顯示電路是將計數(shù)器輸出的8421 BCD碼譯成數(shù)碼管顯示所需要的高低電平。譯碼電路

20、就應選接與它配套的共陰極七段數(shù)碼驅動器。譯碼顯示電路采用CD45117段譯碼驅動器。譯碼器A、B、C、D與十進制計數(shù)器的四個輸出端相連接,a、b、c、d、e、f、g即為驅動七段數(shù)碼顯示器的信號。根據(jù)A、B、C、D所得的計數(shù)信號,數(shù)碼管顯示的相對應的字型。3.2.3.1 七段數(shù)碼管圖3.2.3.1 七段數(shù)碼管的引腳圖3.2.3.2 CD4511譯碼器為了使數(shù)碼管能顯示十進制數(shù)。必須將十進制數(shù)代碼經譯碼器譯出,然后經驅動器點亮對應的段。所以,譯碼器的功能就是,對應于某一組數(shù)碼輸入,相應的幾個輸出端有有效信號輸出。常用的集成七段顯示譯碼器有兩類,一類譯碼器輸出高電平有效信號,用來驅動共陰極顯示器,另

21、一類輸出低電平有效信號,以驅動共陽極顯示器。CD4511七段顯示譯碼器的邏輯符號如圖3.2.3.2所示,功能表如圖3.2.3.3所示。當輸入8421BCD碼時,輸出高電平有效。用以驅動共陰極顯示器。當輸入為10101111六個狀態(tài)時,輸出全為低電平,顯示器無顯示。圖3.2.3.2 CD4511邏輯符號(引腳圖)該集成顯示譯碼器設有三個輔助控制端LE、,以增強器件的功能,現(xiàn)分別簡述如下:燈測試輸入端當=0時,無論其他輸入端是什么狀態(tài),所有各段輸出ag均為1,顯示字形。該輸入端常用于檢查譯碼器本身及顯示器各段的好壞。滅燈輸入當=0,并且=1時,無論其他輸入端是什么電平,所有各段輸出ag均為0,所有

22、字形熄滅。該輸入端用于將不必要顯示的零熄滅。鎖存使能輸入LE在=1的條件下,當LE=0時,鎖存器不工作,譯碼器的輸出隨輸入碼的變化而變化;當LE由0跳變1時,輸入碼被鎖存,輸出只取決于鎖存器的內容,不再隨輸入的變化而變化。圖3.2.3.2 CD4511的功能表圖3.2.3.4 顯示器顯示字形3.2.3.3 小時譯碼顯示子電路只需在=1并且LE=0時,譯碼器的輸出隨輸入碼的變化而變化,所以只要把4511譯碼器的數(shù)據(jù)輸入端與74160計數(shù)器的輸出端相連即可。而分鐘和秒譯碼顯示電路也是如此,如圖3.2.3.5所示。圖3.2.3.5小時譯碼顯示子電路(六十進制計數(shù))3.2.3.4 分鐘/秒譯碼顯示子電

23、路圖3.2.3.6 分鐘/秒譯碼顯示子電路(十二、二十四進制計數(shù))3.2.4 校時、校分電路校對時間一般在選定的標準時間到來之前進行,可分為4個步驟:首先把時計數(shù)器置到所需的數(shù)字;然后再將分計數(shù)器置到所需的數(shù)字;與此同時或之后應將秒計數(shù)器清零,時鐘暫停計數(shù),處于等待啟動階段;當選定的標準時刻到達的瞬間,按啟動按鈕,電路則從所預置時間開始計數(shù)。由此可知,校時,校分電路應具有預置小時、預置分、等待啟動、計時4個階段。在設計電路時既要方便可靠地實現(xiàn)校時校分的功能,又不能影響時鐘的正常計時,通常采用邏輯門切換。當Q=1時,輸入的預置信號可以傳到時計數(shù)器的CLK端,進行校時工作,而分進位信號被封鎖。例如

24、,校時電路原理示意圖如圖3.2.4.1所示。當Q=0時,分進位信號可以傳到時計數(shù)器的CLK端,進行計時工作,而輸入的預置信號分進位信號被封鎖。校分電路也仿照此進行。圖3.2.4.1 校時電路原理框圖當然上述方法比較精確,也比較復雜,在精度要求不高時,也可以采用另一種方法。只需使用兩個雙向選擇開關將秒脈沖直接引入時計數(shù)器的分計數(shù)器即可實現(xiàn)功能。此時,低位計數(shù)器的進位信號輸出端需通過雙向選擇開關的其中一選擇端接至高位計數(shù)器的時鐘信號端,開關的另一選擇端接秒脈沖信號。當日常顯示時間時,開關撥向低位計數(shù)器的進位信號輸出端;調時調分時撥向秒脈沖信號,這樣可使計數(shù)器自動跳至所需要的時間。(具體見總電路圖)

25、3.2.5 整點報時電路當時間到達整點前10秒開始,蜂鳴器1秒響1秒停地響5次。即當時間達到時59分50秒時蜂鳴器開始響第一次,并持續(xù)一秒鐘,然后停鳴一秒,這樣響五次。利用與非門的相與功能,而已把分十位的OC 、OA ,分個位的QD、QA,秒十位的QC、QA 和秒個位的QA相“與非”作為控制信號控制與非門的開斷,從而控制蜂鳴器的響和停。圖3.2.5.1 整點報時電路3.3 總電路設計圖4.數(shù)字時鐘電路仿真4.1 開始狀態(tài)圖4.1.1 開始狀態(tài)4.2 校時、校分功能圖4.2.1校時、校分功能4.3 十二進制與二十四進制轉換功能圖4.3.1十二進制與二十四進制轉換功能4.4 滿60秒向分鐘進位狀態(tài)

26、圖4.4.1滿60秒向分鐘進位狀態(tài)4.5 滿60分向小時進位狀態(tài)圖4.5.1 滿60分向小時進位狀態(tài)4.6 23:59:59向00:00:00進位狀態(tài)圖4.6.1 23:59:59向00:00:00進位狀態(tài)6.收獲與體會通過這次對數(shù)字時鐘的課程設計,讓我更加明白了設計電路的程序,讓我更加深入了解了數(shù)字時鐘的設計理念和思路,也更加扎實的掌握了有關數(shù)字電子技術方面的知識。盡管這次實驗做得并不是很順利,在設計過程中碰到了很大的困難。一開始還比較迷茫,但是我沒有失去信心,通過不斷地查閱文獻,不斷地思考,最終大體上算是成功了,讓我明白了學習理論知識的重要性,做任何設計都需要強大的理論知識。此次電路設計讓

27、我得到了多方面的鍛煉。一方面我對數(shù)字電子技術專業(yè)知識有了進一步的了解,并熟悉了更多的電子儀器的使用方法,了解常用的電子器件的類型和特征,同時學會了如何合理選用電子器件的原則。也通過使用型數(shù)字電子電路設計、安裝、調試等各環(huán)節(jié),也培養(yǎng)了我運用課程中所學的理論與實踐緊密相結合,獨立地解決實際問題的能力。讓我知道了在以后的學習中,生活中,我會勤于思考,勤于動手,不拍困難的好習慣。再一方面電子電路的安裝與調試技能培養(yǎng)了我創(chuàng)新能力和對治學要嚴謹?shù)膽B(tài)度。雖然此次課程設計做起來有點困難,但是在設計過程中所學到的東西是這次課程設計的做大收獲和財富,它將使我終身受益。7.儀器儀表明細清單表元器件清單表元器件名稱個

28、數(shù)型號十進制計數(shù)器7個74LS160555定時器1個LM555CM數(shù)碼顯示器6個共陰極蜂鳴器1個電容1個20pf電阻4個500歐姆非門芯片2個74LS04D雙輸入與非門芯片2個7400N帶有施密特觸發(fā)的非門芯片1個74LS14D八輸入與非門芯片1個74HC30N譯碼器芯片6個4511BD單刀雙擲開關3個雙D正沿觸發(fā)器1個74LS74參考文獻(1) 顧三春 仝迪、電子技術試驗 、2009、化學工業(yè)出版社(2)江曉安 董秀峰、數(shù)字電子技術第三版 、2008、西安電子科技大學出版社.(3)邱關源、電路第五版、2006、高等教育出版社(4)Multisim 11電路設計及應用 、2010、國防工業(yè)出版社(5)孫勝麟 、電子技術基礎實驗與仿真、2008、中南大學出版社(6)郭照南 、電子技術與EDA課程設計技術、2010、中南大學出版社 溫馨提示:最好仔細閱讀后才下載使用,萬分感謝!

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關資源

更多
正為您匹配相似的精品文檔
關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!