九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

LED顯示屏設(shè)計(jì)畢業(yè)論文

上傳人:1888****888 文檔編號(hào):37038997 上傳時(shí)間:2021-11-01 格式:DOC 頁數(shù):29 大小:1.35MB
收藏 版權(quán)申訴 舉報(bào) 下載
LED顯示屏設(shè)計(jì)畢業(yè)論文_第1頁
第1頁 / 共29頁
LED顯示屏設(shè)計(jì)畢業(yè)論文_第2頁
第2頁 / 共29頁
LED顯示屏設(shè)計(jì)畢業(yè)論文_第3頁
第3頁 / 共29頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《LED顯示屏設(shè)計(jì)畢業(yè)論文》由會(huì)員分享,可在線閱讀,更多相關(guān)《LED顯示屏設(shè)計(jì)畢業(yè)論文(29頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、LED顯示屏設(shè)計(jì)摘 要LED大屏幕顯示系統(tǒng),以AT89S52單片機(jī)為核心,由鍵盤顯示、與非門、外部鎖存器、LED大屏幕顯示等功能模塊組成。基于題目基本要求,本系統(tǒng)對(duì)時(shí)間顯示和大屏幕顯示進(jìn)行了重點(diǎn)設(shè)計(jì)。此外,擴(kuò)展單片機(jī)外圍接口、逐排顯示,與非門等功能。本系統(tǒng)大部分功能由軟件來實(shí)現(xiàn),吸收了硬件軟件化的思想,大部分功能通過軟件來實(shí)現(xiàn),使電路簡(jiǎn)單明了,系統(tǒng)穩(wěn)定性大大提高。本系統(tǒng)不僅成功的實(shí)現(xiàn)了要求的基本功能,發(fā)揮部分也得到完全的實(shí)現(xiàn),而且有一定的創(chuàng)新功能。 本設(shè)計(jì)主要以AT89S52單片機(jī)為核心,采用串行傳輸、靜態(tài)掃描技術(shù),制作一款擁有PC機(jī)通信功能的,模塊化LED多功能顯示屏。關(guān)鍵詞:AT89S52

2、、LED、1680點(diǎn)陣、單片機(jī) 目 錄緒論5第一章 選定方案 1.1 確定方案6 1.2 單片機(jī)的確定7 1.3 顯示部分7 1.4 芯片選擇7 1.5 電源選擇7第二章 單片機(jī)概述2.1 AT89S52單片機(jī)的結(jié)構(gòu)92.2 管腳說明9第三章 系統(tǒng)整體方案 3.1 需要實(shí)現(xiàn)的功能10 3.2 顯示模式方案論證10第四章 系統(tǒng)硬件電路的設(shè)計(jì) 4.1 整體模塊設(shè)計(jì)11 4.2 電源電路設(shè)計(jì)11 4.3 單片機(jī)最小系統(tǒng)電路12 4.4 列掃描電路13 4.5 行控制電路13 4.6 LED顯示器電路14 4.7 總體硬件電路設(shè)計(jì)15第五章 系統(tǒng)程序的設(shè)計(jì) 5.1 主程序流程圖175.1 顯示驅(qū)動(dòng)程序

3、17第六章 調(diào)試及性能分析 6.1 軟件調(diào)試22 6.2 硬件調(diào)試23 6.3 性能分析24總結(jié)24致謝24參考文獻(xiàn)27附件一 27附件二30緒論本系統(tǒng)采用單片機(jī)AT89S52為L(zhǎng)ED顯示屏的控制核心,制造一種簡(jiǎn)單的1680漢字顯示屏設(shè)計(jì)。內(nèi)容包括軟件設(shè)計(jì)、LED顯示屏的硬件電路、匯編語言程序設(shè)計(jì)與調(diào)試等方面,涉及到單片機(jī)電子產(chǎn)品設(shè)計(jì)及制造工程中的各個(gè)環(huán)節(jié),有助于廣大學(xué)者進(jìn)一步的學(xué)習(xí)、了解漢字點(diǎn)陣顯示原理,認(rèn)識(shí)單片機(jī)的基本結(jié)構(gòu)、工作原理及應(yīng)用方法,提高單片機(jī)知識(shí)的運(yùn)用能力LED顯示屏是利用發(fā)光二極管點(diǎn)陣模塊或像素單元組成的平面式顯示屏幕。它具有發(fā)光效率高、使用壽命長(zhǎng)、組態(tài)靈活、色彩豐富以及對(duì)室

4、內(nèi)外環(huán)境適應(yīng)能力強(qiáng)等優(yōu)點(diǎn)。并廣泛的應(yīng)用于公交汽車,碼頭,商店,學(xué)校和銀行等公共場(chǎng)合的信息發(fā)布和廣告宣傳。LED顯示屏經(jīng)歷了從單色,雙色圖文顯示屏到現(xiàn)在的全彩色視頻顯示屏的發(fā)展過程,自20世紀(jì)八十年代開始,LED顯示屏的應(yīng)用領(lǐng)域已經(jīng)遍布交通、電信、教育、證券、廣告宣傳等各方面。LED點(diǎn)陣顯示屏的構(gòu)成型式有多種,其中典型的有兩種。一種把所需展示的廣告信息燒寫固化到EPROM芯片內(nèi),能進(jìn)行固定內(nèi)容的多幅漢字顯示,稱為單顯示型;另一種在機(jī)內(nèi)設(shè)置了字庫、程序庫,具有程序編制能力,能進(jìn)行內(nèi)容可變的多幅漢字顯示,稱可編程序型。目前,國內(nèi)的LED點(diǎn)陣顯示屏大部分是單顯示型,其顯示的內(nèi)容相對(duì)較少,顯示花樣較單一

5、。一般在產(chǎn)品出廠時(shí),顯示內(nèi)容就已寫入顯示屏控制系統(tǒng)中的EPROM芯片內(nèi),當(dāng)需要更換顯示內(nèi)容時(shí)就非常困難,這樣使該類型的顯示屏使用范圍受到了限制。國內(nèi)的另一種LED顯示屏可編程序型LED顯示屏,雖然增加了顯示屏系統(tǒng)的編程能力,顯示內(nèi)容和顯示花樣都有所增加,但也存在著更換顯示內(nèi)容不便的缺點(diǎn)。隨著社會(huì)經(jīng)濟(jì)的迅速發(fā)展,如今的廣告牌都存在著顯示內(nèi)容豐富、信息量大、信息更換速度快等特點(diǎn)。因此傳統(tǒng)的LED顯示屏控制系統(tǒng)已經(jīng)越來越不能滿足現(xiàn)代廣告宣傳業(yè)的需要。而利用PC機(jī)通信技術(shù)控制LED顯示屏,則具有顯示內(nèi)容豐富,信息更換靈活等優(yōu)點(diǎn)。本設(shè)計(jì)是基于單片機(jī)(AT89C51)講述了1680 LED漢字點(diǎn)陣顯示的基

6、本原理、硬件組成與設(shè)計(jì)、程序編譯與下載等基本環(huán)節(jié)和相關(guān)技術(shù)。第一章 選定系統(tǒng)方案1.1 確定方案本設(shè)計(jì)要求用單片機(jī)控制一個(gè)1680點(diǎn)陣顯示屏,完成相應(yīng)的漢字顯示。根據(jù)此要求設(shè)計(jì)者提出了三種如下相應(yīng)的設(shè)計(jì)方案:方案一:PWM(脈寬調(diào)制)技術(shù)是一種傳統(tǒng)的調(diào)光方式,它利用簡(jiǎn)單的數(shù)位脈沖,反覆開關(guān)LED驅(qū)動(dòng)器,系統(tǒng)只需要提供寬、窄不同的數(shù)位式脈沖,即可簡(jiǎn)單地實(shí)現(xiàn)改變輸出電流,從而調(diào)節(jié)LED的亮度。該技術(shù)的優(yōu)點(diǎn)在于能夠提供高品質(zhì)的白光,以及應(yīng)用簡(jiǎn)單,效率高。方案二:DSP(digital singnal processor)是一種獨(dú)特的微處理器,是以數(shù)字信號(hào)來處理大量信息的器件。其工作原理是接收模擬信號(hào)

7、,轉(zhuǎn)換為0或1的數(shù)字信號(hào),再對(duì)數(shù)字信號(hào)進(jìn)行修改、刪除、強(qiáng)化,并在其他系統(tǒng)芯片中把數(shù)字?jǐn)?shù)據(jù)解譯回模擬數(shù)據(jù)或?qū)嶋H環(huán)境格式。它不僅具有可編程性,而且其實(shí)時(shí)運(yùn)行速度可達(dá)每秒數(shù)以千萬條復(fù)雜指令程序,遠(yuǎn)遠(yuǎn)超過通用微處理器,是數(shù)字化電子世界中日益重要的電腦芯片。它的強(qiáng)大數(shù)據(jù)處理能力和高運(yùn)行速度,是最值得稱道的兩大特色。DSP器件比16位單片機(jī)單指令執(zhí)行時(shí)間快810倍,完成一次乘加運(yùn)算快1630倍。DSP器件還提供了高度專業(yè)化的指令集,提高了FFT快速傅里葉變換和濾波器的運(yùn)算速度。此外,DSP器件提供JTAG接口,具有更先進(jìn)的開發(fā)手段,批量生產(chǎn)測(cè)試更方便,開發(fā)工具可實(shí)現(xiàn)全空間透明仿真,不占用用戶任何資源。芯片

8、內(nèi)置544字的高速SRAM。外部可尋址64K字程序/數(shù)據(jù)及I/O,令周期在25ns50ns之間,實(shí)時(shí)性處理比16位單片機(jī)快2倍以上,可取代一般的單片機(jī)。TMS320F206除了具有TMS320C203的功能外,內(nèi)置32K字零等待快閃存儲(chǔ)器,可滿足單片設(shè)計(jì)的要求,能最大限度減少用戶板的體積。軟件配有匯編/鏈接C編譯器、C源碼調(diào)試器。 方案三:?jiǎn)纹瑱C(jī)是一種集成在電路芯片,是采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù)處理能力的中央處理器CPU隨機(jī)存儲(chǔ)器RAM、只讀存儲(chǔ)器ROM、多種I/O口和中斷系統(tǒng)、定時(shí)器/計(jì)時(shí)器等功能(可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模擬多路轉(zhuǎn)換器、A/D轉(zhuǎn)換器等電路)集成到一塊硅

9、片上構(gòu)成的一個(gè)小而完善的計(jì)算機(jī)系統(tǒng)。方案一 致命的缺點(diǎn)是容易產(chǎn)生電磁干擾,有時(shí)甚至?xí)a(chǎn)生人耳能聽見的雜訊,所以排除。方案二 DSP價(jià)格相比其它幾種較貴,所以被淘汰方案三 單片機(jī)相比其它兩種方案,價(jià)格便宜且好匯編程序,更適合本次方案。 因?yàn)榭紤]到方案要求低,只需要顯示靜態(tài)漢字,再加上單片機(jī)成本低廉且符合方案要求,故選擇方案三1.2 單片機(jī)的確定我國目前常用的單片機(jī)的研制廠家有如下: Intel公司 (MCS51系列,MCS96系列)Atmel公司 (AT89系列,MCS5內(nèi)核)Microchip 公司(PIC系列)Motorola 公司 (6HCXX系列)Zilog 公司 (Z86系列)Phil

10、ips 公司 (87,80系列,MCS51內(nèi)核)Siemens 公司 (SAB80系列,MCS51內(nèi)核)NEC 公司 (78系列)Epson 公司 (EOC88系列)方案一:AT89C51單片機(jī)是這幾年在我國非常流行的單片機(jī),是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(FPEROMFalshProgrammable and Erasable Read Only Memory)高性能單片機(jī),可擦除只讀存儲(chǔ)器可以反復(fù)擦除100次,具有低功耗、高性能的特點(diǎn),并且可與工業(yè)標(biāo)準(zhǔn)的MCS51指令集和輸出管腳相兼容,對(duì)于論文中需要實(shí)現(xiàn)的功能,完全可勝任。方案二:AT89S52具有以下標(biāo)準(zhǔn)功能: 8k字節(jié)Fl

11、ash,256字節(jié)RAM,32 位I/O 口線,看門狗定時(shí)器,2 個(gè)數(shù)據(jù)指針,三個(gè)16 位定時(shí)器/計(jì)數(shù)器,一個(gè)6向量2級(jí)中斷結(jié)構(gòu),全雙工串行口,片內(nèi)晶振及時(shí)鐘電路。另外,AT89S52 可降至0Hz 靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式??臻e模式下,CPU停止工作,允許RAM、定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。8 位微控制器8K 字節(jié)在系統(tǒng)可編程他們是8051的內(nèi)核,只不過52的內(nèi)部資源比51稍多,比如增加了一個(gè)16位的計(jì)數(shù)器T2,當(dāng)然相應(yīng)的特殊寄存器(SFR)也有了一點(diǎn)變化,另外52的內(nèi)存

12、也從51的128字節(jié)提高到了256字節(jié),ROM也從2K提高到4K,可以裝下更大的程序。S52比C51還增加了ISP功能,就是在線可編程功能,這可是很有用的功能,對(duì)于買不起仿真器或希望能板上調(diào)試(就是插在成品電路板上調(diào)試)的人來說十分有價(jià)值,你可以隨時(shí)更新插在電路板上的單片機(jī)的程序,十分方便。故綜合市場(chǎng)情況與常接觸的單片機(jī)型號(hào),論文中采用AT89S52單片機(jī)。1.3顯示部分:LCD液晶顯示器的優(yōu)點(diǎn):微功耗、尺寸小,超薄輕巧、顯示信息量大、字跡清晰、美觀、視覺舒適。使整個(gè)控制系統(tǒng)更加人性化。LCM301液晶顯示器,其是串行口顯示,所需I/O口較少,節(jié)省了資源,焊接電路時(shí)也較為方便。但以上兩種因?yàn)槌?/p>

13、本太高,所以我們采用LED二極管,也能達(dá)到預(yù)期效果。LED的發(fā)光顏色和發(fā)光效率與制作LED的材料和工藝有關(guān),目前廣泛使用的有紅、綠、藍(lán)三種。由于LED工作電壓低(僅1.5-3V), 能主動(dòng)發(fā)光且有一定亮度,亮度又能用電壓(或電流)調(diào)節(jié),本身又耐沖擊、抗振動(dòng)、壽命長(zhǎng)( 10萬小時(shí)),所以在大型的顯示設(shè)備中, 目前尚無其他的顯示方式與 LED顯示方式匹敵。 把紅色和綠色的 LED放在一起作為一個(gè)像素制作的顯示屏叫雙基色屏或偽彩色屏;把紅、綠、藍(lán)三種LED管放在一起作為一個(gè)像素的顯示屏叫三基色屏或全彩屏。制作室內(nèi) LED屏的像素尺寸一般是2-10毫米,常常采用把幾種能產(chǎn)生不同基色的LED管芯封裝成一

14、體,室外LED屏的像素尺寸多為 12-26毫米,每個(gè)像素由若干個(gè)各種單色LED組成,常見的成品稱像素筒或像素模塊。LED顯示屏如果想要顯示圖象,則需要構(gòu)成像素的每個(gè)LED的發(fā)光亮度都必須能調(diào)節(jié),其調(diào)節(jié)的精細(xì)程度就是顯示屏的灰度等級(jí)?;叶鹊燃?jí)越高,顯示的圖像就越細(xì)膩,色彩也越豐富,相應(yīng)的顯示控制系統(tǒng)也越復(fù)雜。在當(dāng)前的技術(shù)水平下, 256級(jí)灰度的圖像,顏色過渡已十分柔和,圖像還原效果比較令人滿意。1.4芯片的選擇方案一:采取并口輸入,占用大量I/O口資源方案二:選取串口輸入,使用較少。74HC595有8位串行輸入/輸出或者并行輸出移位寄存器,具有高阻關(guān)斷狀態(tài)。三態(tài)。8位串行輸入, 8位串行或并行輸

15、出存儲(chǔ)狀態(tài)寄存器,三種狀態(tài)輸出寄存器可以直接清除 100MHz的移位頻率, 輸出能力,并行輸出,總線驅(qū)動(dòng)。串行輸出,標(biāo)準(zhǔn),中等規(guī)模集成電路。綜合以上比較,我們選取74HC595來驅(qū)動(dòng)LED點(diǎn)陣。 1.5電源選擇78L05是一種固定電壓(5V)三端集成穩(wěn)壓器,其適用于很多應(yīng)用場(chǎng)合.象牽涉到單點(diǎn)穩(wěn)壓場(chǎng)合需要限制噪聲和解決分布問題的在-卡調(diào)節(jié).此外它們還可以和其它功率轉(zhuǎn)移器件一起構(gòu)成大電流的穩(wěn)壓電源,如可驅(qū)動(dòng)輸出電流高達(dá)100毫安的穩(wěn)壓器.其卓越的內(nèi)部電流限制和熱關(guān)斷特性使之特別適用于過載的情況.當(dāng)用于替代傳統(tǒng)的齊納二極管-電阻組的時(shí)候,其輸出阻抗得到有效的改善,其偏置電流大大減少。8550參數(shù):

16、集電極-基極電壓Vcbo:-40V 工作溫度:-55 to +150 由于AT89C51的額定工作電壓為5V;74HC154額定工作電壓為5V;16*16LED驅(qū)動(dòng)電流為0.4A,所以16*80的驅(qū)動(dòng)電流是為2A。二極管的驅(qū)動(dòng)電壓是1.61.8v,所以一個(gè)二極管需要串聯(lián)一個(gè)電阻降壓R=U/I得R=51.8/2=1.6。整個(gè)16*80的LED需要串聯(lián)1000歐的電阻。采用8550第二章 AT89S52單片機(jī)概述2.1 AT89S52單片機(jī)的結(jié)構(gòu)AT89S52是一種低功耗、高性能CMOS8位微控制器,具有8K 在系統(tǒng)可編程Flash 存儲(chǔ)器。使用Atmel 公司高密度非易失性存儲(chǔ)器技術(shù)制造,與工業(yè)

17、80C52 產(chǎn)品指令和引腳完全兼容。片上Flash允許程序存儲(chǔ)器在系統(tǒng)可編程,亦適于常規(guī)編程器。在單芯片上,擁有靈巧的8 位CPU 和在系統(tǒng)可編程Flash,使AT89S52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。AT89S52具有以下標(biāo)準(zhǔn)功能: 8k字節(jié)Flash,256字節(jié)RAM,32 位I/O 口線,看門狗定時(shí)器,2 個(gè)數(shù)據(jù)指針,三個(gè)16 位定時(shí)器/計(jì)數(shù)器,一個(gè)6向量2級(jí)中斷結(jié)構(gòu),全雙工串行口,片內(nèi)晶振及時(shí)鐘電路。另外,AT89S52 可降至0Hz 靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式。空閑模式下,CPU停止工作,允許RAM、定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)

18、方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),2.2 管腳說明 P0 口:P0口是一個(gè)8位漏極開路的雙向I/O口。作為輸出口,每位能驅(qū)動(dòng)8個(gè)TTL邏輯電平。對(duì)P0端口寫“1”時(shí),引腳用作高阻抗輸入。 當(dāng)訪問外部程序和數(shù)據(jù)存儲(chǔ)器時(shí),P0口也被作為低8位地址/數(shù)據(jù)復(fù)用。在這種模式下, P0不具有內(nèi)部上拉電阻。 在flash編程時(shí),P0口也用來接收指令字節(jié);在程序校驗(yàn)時(shí),輸出指令字節(jié)。程序校驗(yàn)時(shí),需要外部上拉電阻。 P1 口:P1 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,p1 輸出緩沖器能驅(qū)動(dòng)4 個(gè) TTL 邏輯電平。對(duì)P1 端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入

19、使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。 此外,P1.0和P1.2分別作定時(shí)器/計(jì)數(shù)器2的外部計(jì)數(shù)輸入(P1.0/T2)和時(shí)器/計(jì)數(shù)器2 的觸發(fā)輸入(P1.1/T2EX),具體如下表所示。在flash編程和校驗(yàn)時(shí),P1口接收低8位地址字節(jié)。 引腳號(hào)第二功能 P1.0 T2(定時(shí)器/計(jì)數(shù)器T2的外部計(jì)數(shù)輸入),時(shí)鐘輸出 P1.1 T2EX(定時(shí)器/計(jì)數(shù)器T2的捕捉/重載觸發(fā)信號(hào)和方向控制) P1.5 MOSI(在系統(tǒng)編程用) P1.6 MISO(在系統(tǒng)編程用) P1.7 SCK(在系統(tǒng)編程用) P2 口:P2 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,P2 輸出緩

20、沖器能驅(qū)動(dòng)4 個(gè) TTL 邏輯電平。對(duì)P2 端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。 在訪問外部程序存儲(chǔ)器或用16位地址讀取外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行MOVX DPTR) 時(shí),P2 口送出高八位地址。在這種應(yīng)用中,P2 口使用很強(qiáng)的內(nèi)部上拉發(fā)送1。在使用 8位地址(如MOVX RI)訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),P2口輸出P2鎖存器的內(nèi)容。在flash編程和校驗(yàn)時(shí),P2口也接收高8位地址字節(jié)和一些控制信號(hào)。 P3 口:P3 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,p3 輸出緩沖器能驅(qū)動(dòng)4 個(gè)TTL

21、邏輯電平。對(duì)P3 端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。 P3口亦作為AT89S52特殊功能(第二功能)使用,如下表所示。 在flash編程和校驗(yàn)時(shí),P3口也接收一些控制信號(hào)。 端口引腳 第二功能 P3.0 RXD(串行輸入口) P3.1 TXD(串行輸出口) P3.2 INTO(外中斷0) P3.3 INT1(外中斷1) P3.4 TO(定時(shí)/計(jì)數(shù)器0) P3.5 T1(定時(shí)/計(jì)數(shù)器1) P3.6 WR(外部數(shù)據(jù)存儲(chǔ)器寫選通) P3.7 RD(外部數(shù)據(jù)存儲(chǔ)器讀選通) 此外,P3口還接收一些用于

22、FLASH閃存編程和程序校驗(yàn)的控制信號(hào)。 RST復(fù)位輸入。當(dāng)振蕩器工作時(shí),RST引腳出現(xiàn)兩個(gè)機(jī)器周期以上高電平將是單片機(jī)復(fù)位。 ALE/PROG當(dāng)訪問外部程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),ALE(地址鎖存允許)輸出脈沖用于鎖存地址的低8位字節(jié)。一般情況下,ALE仍以時(shí)鐘振蕩頻率的1/6輸出固定的脈沖信號(hào),因此它可對(duì)外輸出時(shí)鐘或用于定時(shí)目的。要注意的是:每當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器時(shí)將跳過一個(gè)ALE脈沖。 對(duì)FLASH存儲(chǔ)器編程期間,該引腳還用于輸入編程脈沖(PROG)。 如有必要,可通過對(duì)特殊功能寄存器(SFR)區(qū)中的8EH單元的D0位置位,可禁止ALE操作。該位置位后,只有一條MOVX和MOVC指令才能將

23、ALE激活。此外,該引腳會(huì)被微弱拉高,單片機(jī)執(zhí)行外部程序時(shí),應(yīng)設(shè)置ALE禁止位無效。 PSEN程序儲(chǔ)存允許(PSEN)輸出是外部程序存儲(chǔ)器的讀選通信號(hào),當(dāng)AT89C52由外部程序存儲(chǔ)器取指令(或數(shù)據(jù))時(shí),每個(gè)機(jī)器周期兩次PSEN有效,即輸出兩個(gè)脈沖,在此期間,當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器,將跳過兩次PSEN信號(hào)。 EA/VPP外部訪問允許,欲使CPU僅訪問外部程序存儲(chǔ)器(地址為0000H-FFFFH),EA端必須保持低電平(接地)。需注意的是:如果加密位LB1被編程,復(fù)位時(shí)內(nèi)部會(huì)鎖存EA端狀態(tài)。 如EA端為高電平(接Vcc端),CPU則執(zhí)行內(nèi)部程序存儲(chǔ)器的指令。 FLASH存儲(chǔ)器編程時(shí),該引腳加上+1

24、2V的編程允許電源Vpp,當(dāng)然這必須是該器件是使用12V編程電壓Vpp。第三章 系統(tǒng)整體方案3.1 需要實(shí)現(xiàn)的功能此次需要實(shí)現(xiàn)的功能是利用一個(gè)AT89C51、一個(gè)74HC154、10個(gè)74HC595、20個(gè)16*80LED點(diǎn)陣,靜態(tài)顯示“機(jī)電工程系”5個(gè)字3.2 顯示模式方案論證顯示部分是本次設(shè)計(jì)最核心的部分,對(duì)于LED8*8點(diǎn)陣顯示有以下兩種方案:方案一:靜態(tài)顯示,將一幀圖像中的每一個(gè)二極管的狀態(tài)分別用0 和1 表示,若為0 ,則表示L ED 無電流,即暗狀態(tài);若為1 則表示二極管被點(diǎn)亮。若給每一個(gè)發(fā)光二極管一個(gè)驅(qū)動(dòng)電路,一幅畫面輸入以后,所有L ED 的狀態(tài)保持到下一幅畫。對(duì)于靜態(tài)顯示方式

25、方式,所需的譯碼驅(qū)動(dòng)裝置很多,引線多而復(fù)雜,成本高,且可靠性也較低。方案二:動(dòng)態(tài)顯示,對(duì)一幅畫面進(jìn)行分割,對(duì)組成畫面的各部分分別顯示,是動(dòng)態(tài)顯示方式。動(dòng)態(tài)顯示方式方式,可以避免靜態(tài)顯示的問題。但設(shè)計(jì)上如果處理不當(dāng),易造成亮度低,閃爍問題。因此合理的設(shè)計(jì)既應(yīng)保證驅(qū)動(dòng)電路易實(shí)現(xiàn),又要保證圖像穩(wěn)定,無閃爍。動(dòng)態(tài)顯示采用多路復(fù)用技術(shù)的動(dòng)態(tài)掃描顯示方式, 復(fù)用的程度不是無限增加的, 因?yàn)槔脛?dòng)態(tài)掃描顯示使我們看到一幅穩(wěn)定畫面的實(shí)質(zhì)是利用了人眼的暫留效應(yīng)和發(fā)光二極管發(fā)光時(shí)間的長(zhǎng)短, 發(fā)光的亮度等因素. 我們通過實(shí)驗(yàn)發(fā)現(xiàn), 當(dāng)掃描刷新頻率(發(fā)光二極管的停閃頻率) 為50Hz, 發(fā)光二極管導(dǎo)通時(shí)間1m s 時(shí)

26、, 顯示亮度較好, 無閃爍感.。 鑒于上述原因, 我們采用方案一 第四章 系統(tǒng)硬件電路的設(shè)計(jì)系統(tǒng)硬件部分電路大致上可以分成電源電路、單片機(jī)最小系統(tǒng)電路及外圍電路、列掃描電路、行掃描電路和LED顯示屏電路五部分。4.1整體模塊設(shè)計(jì)本設(shè)計(jì)行、列驅(qū)動(dòng)電路,顯示屏電路,運(yùn)用單片機(jī)的智能化,系統(tǒng)的將每個(gè)功能電路模塊連接在一起,總體結(jié)構(gòu)設(shè)計(jì)如下圖2.1所示:列驅(qū)動(dòng)器1616LED點(diǎn)陣顯示屏行驅(qū)動(dòng)器電源單 片 機(jī)圖2.1 系統(tǒng)結(jié)構(gòu)設(shè)計(jì)總圖圖2.2 電源電路4.3單片機(jī)最小系統(tǒng)電路AT89S52是美國ATMEL公司生產(chǎn)的低電壓,高性能CMOS 8位單片機(jī),片內(nèi)含8KB可反復(fù)擦寫的Flash只讀程序存儲(chǔ)器和25

27、6 字節(jié)隨機(jī)存取數(shù)據(jù)存儲(chǔ)器。該器件采用ATMEL公司高密度、非易失性存儲(chǔ)技術(shù)制造,兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),靈活應(yīng)用于各種控制領(lǐng)域。AT89C51單片機(jī)最小系統(tǒng)電路由復(fù)位電路、晶振電路兩部分組成。4.3.1復(fù)位電路 AT89C51單片機(jī)在啟動(dòng)運(yùn)行時(shí)或者出現(xiàn)死機(jī)時(shí)需要復(fù)位,使CPU以及其他功能部件處于一個(gè)確定的初始狀態(tài),并從這個(gè)狀態(tài)開始工作。復(fù)位電路產(chǎn)生的復(fù)位信號(hào)(高電平有效)由RST引腳送入到內(nèi)部的復(fù)位電路,對(duì)AT89C51單片機(jī)進(jìn)行復(fù)位,復(fù)位信號(hào)要持續(xù)兩個(gè)機(jī)器周期(24個(gè)時(shí)鐘周期)以上,才能使AT89C51單片機(jī)可靠復(fù)位。AT89C51單片機(jī)復(fù)位電路如下圖2.3所示: 圖2.3復(fù)位電路圖

28、 圖2.4 晶振電路圖復(fù)位電路工作原理: 上電瞬間RST引腳的電位與VCC等電位,RST引腳為高電平,隨著電容C3充電電流的減少,RST引腳的電位不斷下降,其充電時(shí)間常數(shù)為T=R3*C3=100ms,此時(shí)間常數(shù)足以RST引腳在保持為高電平的時(shí)間內(nèi)完成復(fù)位操作。當(dāng)單片機(jī)已在運(yùn)行當(dāng)中時(shí),按下復(fù)位鍵S5后再松開,也能使RST引腳為一段時(shí)間的高電平,從而實(shí)現(xiàn)AT89S52單片機(jī)復(fù)位。4.3.2 晶振電路晶振電路如上圖2.4所示:XTAL1和XTAL2是片內(nèi)振蕩電路輸入端,這兩個(gè)端子用來外接石英晶體和微調(diào)電容,即用來連接AT89S52單片機(jī)片內(nèi)OSC的定時(shí)反饋回路。晶振起振后要能在XTAL2端輸出一個(gè)3

29、V左右的正弦波,以便使片內(nèi)OSC電路按晶振相同頻率自激振蕩。通常,OSC的輸出時(shí)鐘頻率FOSC為6MHZ16MHZ,典型值為12MHZ或11.0592MHZ。電容C1和C2幫助晶振起振,典型值為30pf,調(diào)節(jié)它們可以達(dá)到微調(diào)FOSC的目的。本系統(tǒng)中,晶振為12MHZ,C1=C2=20pf。4.4 列掃描電路列掃描電路由集成電路74HC595構(gòu)成如圖2.5所示,列的處理信號(hào)有三個(gè),SER、SRCLK、RCLK,SER做為列移位寄存器的輸入,SRCLK是移位時(shí)鐘,RCLK做為鎖存時(shí)鐘當(dāng)將16個(gè)位數(shù)據(jù)在SRCLK的脈沖作用下移位寄存器后,就可以開啟RCLK時(shí)鐘,使寄存器的數(shù)據(jù)存入鎖存器,因使能腳接0

30、使能,數(shù)據(jù)直接輸出Q端,Q端數(shù)據(jù)經(jīng)330歐姆的限流電阻接入點(diǎn)陣列腳位,即一行的數(shù)據(jù)顯示。13圖2.5 列掃描電路原理圖4.5 行控制電路行控制電路如下圖2.6所示:圖2.6 行驅(qū)動(dòng)電路原理圖行的處理信號(hào)有AB和SCK,AB做為行移位寄存器的輸入,SCK是移位時(shí)鐘,AB的輸入在SCK的時(shí)鐘脈沖下移入寄存器,寄存器輸出端Q經(jīng)4.7K電阻接入三極管B極,控制三極管的導(dǎo)通和截止,從而控制點(diǎn)陣行腳位電量。每次移位的輸出16個(gè)Q位只允許有一個(gè)是低電平,即是分時(shí)輪流的輸出低電平是三極管導(dǎo)通,從而使掃描至上而下進(jìn)行。本文行掃描采用的工作方式是:?jiǎn)纹瑱C(jī)的P23不斷的向單片機(jī)一位一位的(即串行)發(fā)送數(shù)據(jù),74HC

31、154不斷的接收數(shù)據(jù)并且存儲(chǔ)起來,待到74HC154寄存器集滿16位數(shù)據(jù)的時(shí)候,再通過并行輸出把數(shù)據(jù)傳給點(diǎn)陣,發(fā)送給點(diǎn)陣的16位行信號(hào)與兩片74HC595芯片發(fā)送的16位列信號(hào)會(huì)合從而產(chǎn)生一個(gè)漢字或其他別的圖形通過點(diǎn)陣顯示出來。排阻RP1和RP2為限流排阻,以免74HC154的輸出電流過大燒壞三極管,本文將74HC154輸出的信號(hào)通過S8550三極管放大再傳送給點(diǎn)陣行輸入端,以加大掃描強(qiáng)度,提高點(diǎn)陣顯示亮度。4.6 LED顯示屏電路LED顯示屏是將發(fā)光二極管按行按列布置的,在掃描驅(qū)動(dòng)方式下可以按行掃描按列控制,也可以按列掃描按行控制。本文就是使用20塊88點(diǎn)陣組成1塊1680點(diǎn)陣,采用按列掃描

32、按行控制控制方式,掃描順序自上而下,以滿足漢字顯示的要求。1680點(diǎn)陣LED結(jié)構(gòu)如圖2.7所示:圖2.7 1616點(diǎn)陣電路圖要實(shí)現(xiàn)顯示動(dòng)畫,只需考慮其顯示方式,通過編程控制各顯示點(diǎn)對(duì)應(yīng)LED陽極和陰極端的電平,就可以有效的控制各顯示點(diǎn)的亮滅。當(dāng)采用按行掃描按列控制的驅(qū)動(dòng)方式時(shí),LED顯示屏8行的同名列共用一套列驅(qū)動(dòng)器。行驅(qū)動(dòng)器一行的行線連接到電源的一端,列驅(qū)動(dòng)器一列的列線連接到電源的另一端。應(yīng)用時(shí)還應(yīng)在各條行線或列線上接上限流電阻。掃描中控制電路將行線的1到8輪流接通高電位,使連接到各該行的全部LED器件接通正電源,但具體那一個(gè)LED導(dǎo)通,還要看它的負(fù)電源是否接通,這就是列控制的任務(wù)了。當(dāng)對(duì)應(yīng)

33、的某一列置0電平,則相應(yīng)的二極管就亮;反之則不亮。行線上只管一行一行的輪流導(dǎo)通,列線上進(jìn)行通斷控制,實(shí)現(xiàn)了行掃描列控制的驅(qū)動(dòng)方式。以上就是1680點(diǎn)陣顯示屏系統(tǒng)硬件部分的各功能模塊分述,經(jīng)過合理的設(shè)計(jì)論證后和就可以整體結(jié)合在一起,再根據(jù)設(shè)計(jì)配備好各元器件實(shí)物,通過組裝后等在單片機(jī)的程序存儲(chǔ)器里放入編制好的程序即可成為一個(gè)完整的應(yīng)用系統(tǒng)。4.7 總體硬件電路設(shè)計(jì)在整個(gè)電路設(shè)計(jì)中,用兩片8位輸出鎖存移位寄存器74HC595作為列掃描,用兩片74LS154芯片作為行掃描,用單片機(jī)AT89S52對(duì)74HC595和74HC154進(jìn)行控制和驅(qū)動(dòng)第五章 系統(tǒng)程序的設(shè)計(jì)5.1主程序流程圖程序初始化外部中斷0定

34、時(shí)/計(jì)數(shù)四字逐排顯示子程序四字顯示程 序掃 描程 序結(jié)束顯示漢 字5.2顯示驅(qū)動(dòng)程序;顯示字用查表法,不占內(nèi)存,用四個(gè)16*128共陽LED點(diǎn)陣顯示,;R2:行掃描地址(從00-0FH)。;R3:滾動(dòng)顯示時(shí)控制移動(dòng)速度,也可控制靜止顯示的時(shí)間。;*;中斷入口程序;*;ORG 0000HLJMP STARTORG 0003HRETIORG 000BHLJMP INTTOORG 0013HRETIORG 001BHRETIORG 0023HRETIORG 002BHRETI;*; 初始化程序 ;*;*; 主程序 ;*;START: MOV 20H,#00H ;清標(biāo)志,00H為1幀掃描結(jié)束標(biāo)志 MO

35、V A,#0FFH ;端口初始化 MOV P1,A MOV P2,A MOV P3,A MOV P0,A CLR P1.6 ;串行寄存器打入輸出端控制位 MOV TMOD,#01H ;使用T0作16位定時(shí)器,行掃描用。 MOV TH0,#0FCH ;1ms初值(12MHZ) MOV TL0,#18H MOV SCON,#00H ;串口0方式傳送顯示字節(jié) MOV IE,#82H ;T0中斷允許,總中斷允許 MOV SP,#70H LCALL DIS1 ;顯示準(zhǔn)備,黑屏,1.5秒MAIN: MOV DPTR,#TAB LCALL MOVDISP AJMP MAIN;*; 四字逐排顯示子程序 ;*;

36、每次四字移入移出顯示方式,入口時(shí)定義好DPTR值;MOVDISP: MOV R1,#10 ;顯示13排字,每排四字(R1=排數(shù))DISLOOP: MOV R3,#100 ;每排顯示時(shí)間1.6*100=1.6SDISMOV: MOV R2,#00H ;第0行開始 SETB TR0 ;開掃描(每次一幀)WAITMOV: JBC 00H,DISMOV1 ;標(biāo)志為1掃描一幀結(jié)束(16毫秒為1幀,每行1毫秒) AJMP WAITMOVDISMOV1: DJNZ R3,DISMOV ;1幀重復(fù)顯示(控制顯示時(shí)間) MOV A,#160 ;顯示字指針移一排(每排8字*32=256) ADD A,DPL ;

37、MOV DPL,A MOV A,#0 ADDC A,DPH MOV DPH,A DEC R1 ;R1為0,顯示完 MOV A,R1 JZ MOVOUT ; AJMP DISLOOP ;MOVOUT: RET ;移動(dòng)顯示結(jié)束;*; 四個(gè)字顯示子程序 ;*;靜止顯示表中某四個(gè)字DIS1: MOV R3,#5AH ;靜止顯示時(shí)間控制(16MS*#=1.6秒)DIS11: MOV R2,#00H ;一幀掃描初始值(行地址從00-0FH) MOV DPTR,#TAB ;取表首址 SETB TR0 ;開掃描(每次一幀)WAIT11: JBC 00H,DIS111 ;為1,掃描一幀結(jié)束 AJMP WAIT1

38、1DIS111: DJNZ R3,DIS11 RET;*; 掃描程序 ;*;1MS傳送一行,每行顯示1毫秒,一次傳送四個(gè)字的某行共8個(gè)字節(jié).;INTTO: PUSH ACC MOV TH0,#0FCH ;1ms初值重裝 MOV TL0,#18H MOV A,#129 ;指向第八個(gè)字行右字節(jié) ADD A,DPL MOV DPL,A MOV A,#0 ADDC A,DPH MOV DPH,A MOV A,#0 MOVC A,A+DPTR ;查表 MOV SBUF,A ;串口0方式發(fā)送WAIT: JBC TI,GO ;等待發(fā)送完畢 AJMP WAIT ;GO: MOV A,DPL ;指向第八個(gè)字行左

39、字節(jié) SUBB A,#1 MOV DPL,A MOV A,DPH SUBB A,#0 MOV DPH,A MOV A,#0 MOVC A,A+DPTR MOV SBUF,AWAIT1: JBC TI,GO1 AJMP WAIT1;GO1: MOV R0,#04HMLOOP: MOV A,DPL ;指向前7個(gè)字行右字節(jié) SUBB A,#31 MOV DPL,A MOV A,DPH SUBB A,#0 MOV DPH,A MOV A,#0 MOVC A,A+DPTR ;查表 MOV SBUF,A ;串口0方式發(fā)送WAIT2: JBC TI,GO2 ;等待發(fā)送完畢 AJMP WAIT2 ;GO2:

40、MOV A,DPL ;指向前7個(gè)字行左字節(jié) SUBB A,#1 MOV DPL,A MOV A,DPH SUBB A,#0 MOV DPH,A MOV A,#0 MOVC A,A+DPTR MOV SBUF,AWAIT3: JBC TI,GO3 AJMP WAIT3GO3: DJNZ R0,MLOOP ;執(zhí)行7次; SETB P1.7 ;關(guān)行顯示,準(zhǔn)備涮新 NOP ;串口寄存器數(shù)據(jù)穩(wěn)定 SETB P1.6 ;產(chǎn)生上升沿,行數(shù)據(jù)打入輸出端 NOP ; NOP ; CLR P1.6 ;恢復(fù)低電平 MOV A,R2 ;修改顯示行地址 ORL A,#0F0H ;修改顯示行地址 MOV R2,A ;修改

41、顯示行地址 MOV A,P1 ;修改顯示行地址 ORL A,#0FH ;修改顯示行地址 ANL A,R2 ;修改顯示行地址 MOV P1,A ;修改完成 CLR P1.7 ;開行顯示 INC R2 ;下一行掃描地址值 INC DPTR ; INC DPTR ;下一行數(shù)據(jù)地址 MOV A,R2 ANL A,#0FH JNZ GO4 SETB 00H ;R2為10H,現(xiàn)為末行掃描,置1幀結(jié)束標(biāo)志 MOV A,DPL ;指針修正為原幀初值 SUBB A,#32 MOV DPL,A MOV A,DPH SUBB A,#0 MOV DPH,A CLR TR0 ;一幀掃描完,關(guān)掃描GO4: POP ACC

42、 ljmp inttoTAB:DB 0EFH, 0DFH ,0EFH, 3FH ,0ECH, 0FFH ,00H, 00H ,0EDH, 0FFH ,0EEH, 7DH ,0EFH, 0F3H ,0FFH, 0CFH;DB 80H ,3FH, 0BFH ,0FFH ,0BFH ,0FFH, 0BFH ,0FFH ,80H ,03H, 0FFH ,0FDH ,0FFH ,0E1H, 0FFH ,0FFH;機(jī),0DB 0FFH, 0FFH ,0FFH, 0FFH ,0E0H, 0FH ,0EDH, 0DFH ,0EDH, 0DFH ,0EDH, 0DFH,0EDH, 0DFH ,00H, 03H;

43、DB 0EDH ,0DDH, 0EDH ,0DDH, 0EDH ,0DDH, 0EDH ,0DDH, 0E0H ,0DH, 0FFH ,0FDH, 0FFH ,0F1H, 0FFH ,0FFH;電,1DB 0FFH, 0FBH ,0FFH, 0FBH, 0BFH ,0FBH, 0BFH, 0FBH ,0BFH, 0FBH, 0BFH, 0FBH, 0BFH, 0FBH, 80H, 03H;DB 0BFH ,0FBH,0BFH, 0FBH ,0BFH ,0FBH ,0BFH ,0FBH ,0BFH ,0FBH, 0BFH ,0FBH, 0FFH ,0FBH, 0FFH ,0FFH;工,2DB 0

44、F7H, 0DFH ,0B7H, 3FH ,0B4H, 0FFH, 80H, 00H ,76H, 0FFH ,77H, 7DH ,0FEH, 0DDH ,02H, 0DDH;DB 7AH ,0DDH, 7AH ,01H, 7AH ,0DDH, 7AH ,0DDH, 02H ,0DDH, 0FEH ,0DDH, 0FFH ,0FDH, 0FFH ,0FFH;程,3DB 0FFH, 0FFH ,0FFH, 0FDH ,0BFH, 7BH ,0BBH, 37H ,0B2H, 6FH ,0AAH, 5FH, 99H, 7DH ,0B9H, 7EH;DB 0BBH ,01H, 77H ,7FH, 4DH

45、 ,5FH, 7EH ,6FH, 7FH ,37H, 7FH ,0B9H, 0FFH ,0FFH, 0FFH ,0FFH;系,4ret end第六章 調(diào)試及性能分析6.1 軟件調(diào)試軟件調(diào)試主要是利用計(jì)算機(jī)仿真針對(duì)程序中可能存在的錯(cuò)誤進(jìn)行檢測(cè),知道得到正確的顯示結(jié)果。圖二:圖三:圖四:6.2硬件調(diào)試 根據(jù)系統(tǒng)設(shè)計(jì)方案,本系統(tǒng)的調(diào)試共分為三大部分:硬件調(diào)試,軟件調(diào)試和軟硬件聯(lián)調(diào)。由于在系統(tǒng)設(shè)計(jì)中采用模塊設(shè)計(jì)法,所以方便對(duì)各電路模塊功能進(jìn)行逐級(jí)測(cè)試:LED驅(qū)動(dòng)模塊的調(diào)試,數(shù)據(jù)存儲(chǔ)模塊的調(diào)試等,最后將各模塊組合后進(jìn)行整體測(cè)試。1、硬件組裝在做好的PCB空板上把系統(tǒng)所用到的所有元器件插入到板上,進(jìn)行焊接

46、,確保無一處有虛焊、漏焊等。2、硬件調(diào)試首先把對(duì)各個(gè)模塊的功能進(jìn)行調(diào)試,主要調(diào)試各模塊能否實(shí)現(xiàn)指定的功能。3、軟件調(diào)試按照程序流程圖在KEIL C51軟件中編寫好程序,在此軟件中檢測(cè)編寫好的程序是否有誤語法錯(cuò)誤。4、硬件軟件聯(lián)調(diào)將調(diào)試好的硬件和軟件進(jìn)行聯(lián)調(diào),主要調(diào)試系統(tǒng)的實(shí)現(xiàn)功能。6.3測(cè)試結(jié)果此次系統(tǒng)設(shè)計(jì)結(jié)果較好,LED顯示屏能很好的顯示信息。LED顯示屏由20塊88的LED小模塊組成,整個(gè)顯示屏可以顯示1680的“機(jī)電工程系”總 結(jié)本文的點(diǎn)陣LED顯示系統(tǒng)以AT89C51單片機(jī)為核心,控制點(diǎn)陣的顯示與流動(dòng),加以設(shè)計(jì)的,可實(shí)現(xiàn)漢字方式循環(huán)顯示,經(jīng)實(shí)際應(yīng)用驗(yàn)證,本條屏作為信息顯示屏,工作穩(wěn)定,

47、字跡清晰、美觀,亮度適中等優(yōu)點(diǎn)。我們還可以靈活擴(kuò)展,使字可以左右或者上下循環(huán)移動(dòng),本次設(shè)計(jì)的點(diǎn)陣顯示屏可以在我校系部掛起,或者通過修改程序使用在其他領(lǐng)域中,比如醫(yī)院、銀行、俱樂部、出租車、商店等地方,同時(shí)本顯示屏質(zhì)量給與保證,經(jīng)久耐用,損壞時(shí)修理方便,調(diào)理簡(jiǎn)便,價(jià)格低廉是以后社會(huì)發(fā)展廣泛應(yīng)用和最適宜的。通過此次論文設(shè)計(jì),讓我學(xué)到了許多知道的和不知道的,都有提高,在單片機(jī)的選擇、顯示屏的組合還有各種器件的選用有了一個(gè)明確的認(rèn)識(shí),程序設(shè)計(jì)上清晰地思路,理論在實(shí)踐方面的運(yùn)用能力有巨大的提高。在實(shí)習(xí)以前,由于對(duì)單片機(jī)有一定的興趣,我通過課外學(xué)習(xí)已經(jīng)接觸了很多概念以及設(shè)計(jì)方式,但不專業(yè)。但是通過這次深層

48、次的學(xué)習(xí)、設(shè)計(jì)我有了一定的實(shí)踐經(jīng)驗(yàn)和理論基礎(chǔ),也讓我可以進(jìn)行更深的研究學(xué)習(xí),再設(shè)計(jì)思路上,通過查閱資料了解了許多方法,認(rèn)識(shí)到形式的多樣性,模仿優(yōu)秀作品是每個(gè)設(shè)計(jì)師必走之路,但是做設(shè)計(jì)必須要有自己的思想,人也要有自己的鮮明個(gè)性,久了,就成了自己的風(fēng)格,風(fēng)格的養(yǎng)成與一個(gè)人的藝術(shù)素養(yǎng)和個(gè)人修養(yǎng)有直接關(guān)系。要拓展自己的知識(shí)面,使自己的知識(shí)系統(tǒng)化。知識(shí)需要接觸社會(huì)的方方面面,光有書本知識(shí)是遠(yuǎn)遠(yuǎn)不夠的。要求自己在以后的學(xué)習(xí)中多想,多讀,多學(xué)。要求自己的寫作水平一定要過硬。經(jīng)驗(yàn)的提高,讓我今后在設(shè)計(jì)時(shí)更加方便、快捷,也為畢業(yè)后的就業(yè)提供了保障,本次論文的完成,讓我認(rèn)識(shí)到自己很多方面的不足,知識(shí)是無窮無限的,

49、知道的越多,就越感覺到自己的不足,自己該提升的空間就越大。在今后我要深刻對(duì)知識(shí)的理解記憶,實(shí)踐操作方面要勤加練習(xí),設(shè)計(jì)論文形式搖動(dòng)的創(chuàng)新,勤加思考,勤快練習(xí),不懈怠,對(duì)于知識(shí)不懂得要及時(shí)查閱資料,更要勤加積累總結(jié)。 在實(shí)踐中不斷對(duì)自己所學(xué)的知識(shí)進(jìn)行更新,進(jìn)行補(bǔ)充。 參考文獻(xiàn)李朝青 主編,單片機(jī)原理及接口技術(shù)(第3版),北京:北京航空航天大學(xué)出版社,2005.10 張毅剛 主編,MCS-51 單片機(jī)實(shí)用子程序設(shè)計(jì), 哈爾濱大學(xué)出版社, 2003.6史光國 主編,半導(dǎo)體發(fā)光二級(jí)管及固體照明,科學(xué)出版社,2007-4-1沈紅衛(wèi) 主編,基于單片機(jī)結(jié)構(gòu)的智能系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn),電子工業(yè)出版社黃惠媛 主編,單

50、片機(jī)原理與接口技術(shù),海洋出版社周平、伍云輝 主編,單片機(jī)應(yīng)用技術(shù),電子科技大學(xué)出版社吳金戌 沈金陽 郭庭吉 主編8051單片機(jī)實(shí)踐與應(yīng)用清華大學(xué)出版社附件一:74HC595芯片資料 8位串行輸入/輸出或者并行輸出移位寄存器,具有高阻關(guān)斷狀態(tài)。三態(tài)。特點(diǎn) 8位串行輸入 8位串行或并行輸出 存儲(chǔ)狀態(tài)寄存器,三種狀態(tài) 輸出寄存器可以直接清除 100MHz的移位頻率 輸出能力 并行輸出,總線驅(qū)動(dòng) 串行輸出;標(biāo)準(zhǔn) 中等規(guī)模集成電路應(yīng)用 串行到并行的數(shù)據(jù)轉(zhuǎn)換描述 595是告訴的硅結(jié)構(gòu)的CMOS器件, 兼容低電壓TTL電路,遵守JEDEC標(biāo)準(zhǔn)。595是具有8位移位寄存器和一個(gè)存儲(chǔ)器,三態(tài)輸出功能。 移位寄存

51、器和存儲(chǔ)器是分別的時(shí)鐘。數(shù)據(jù)在SCHcp的上升沿輸入,在STcp的上升沿進(jìn)入的存儲(chǔ)寄存器中去。如果兩個(gè)時(shí)鐘連在一起,則移位寄存器總是比存儲(chǔ)寄存器早一個(gè)脈沖。 移位寄存器有一個(gè)串行移位輸入(Ds),和一個(gè)串行輸出(Q7),和一個(gè)異步的低電平復(fù)位,存儲(chǔ)寄存器有一個(gè)并行8位的,具備三態(tài)的總線輸出,當(dāng)使能OE時(shí)(為低電平),存儲(chǔ)寄存器的數(shù)據(jù)輸出到總線。 參考數(shù)據(jù) 符號(hào)參數(shù)條件TYP單位HCHCttPHL/tPLH傳輸延時(shí)SHcp到Q7STcp到QnMR到Q7CL=15pFVcc=5V161714212019NsNsNsfmaxSTcp到SHcp最大時(shí)鐘速度10057MHzCL輸入電容Notes 13.5 3.5pFCPDPower dissipation capacitance per package.Notes2115 130 pFCPD決定動(dòng)態(tài)的能耗,PDCPDVCCf1+(CLVCC2f0) F1輸入頻率,CL輸出電容 f0輸出頻率(MHz) Vcc=電源電壓引腳說明符號(hào)引腳描述Q0Q715, 1, 7并行數(shù)據(jù)輸出GND8地

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!