九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

數(shù)字電子鐘論文 數(shù)字電子鐘的設計

上傳人:燈火****19 文檔編號:44106072 上傳時間:2021-12-05 格式:DOCX 頁數(shù):30 大?。?05.65KB
收藏 版權申訴 舉報 下載
數(shù)字電子鐘論文 數(shù)字電子鐘的設計_第1頁
第1頁 / 共30頁
數(shù)字電子鐘論文 數(shù)字電子鐘的設計_第2頁
第2頁 / 共30頁
數(shù)字電子鐘論文 數(shù)字電子鐘的設計_第3頁
第3頁 / 共30頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《數(shù)字電子鐘論文 數(shù)字電子鐘的設計》由會員分享,可在線閱讀,更多相關《數(shù)字電子鐘論文 數(shù)字電子鐘的設計(30頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、湖北輕工職業(yè)技術學院畢業(yè)設計(論文)數(shù)字電子鐘的設計姓名:學號:系部:信息工程系專業(yè): 電子信息工程技術班級:指導教師:日期:湖北輕工職業(yè)技術學院畢業(yè)設計(論文)任務書學生姓名:專業(yè)班級:指導教師: E-mail : kevinix設計(論文)題目: 數(shù)字電子鐘的設計設計(論文)主要內(nèi)容:1 .總體介紹數(shù)字時鐘。包括:目前常見數(shù)字時鐘的實現(xiàn)方式有哪些、各自特點、本設計采用的實現(xiàn)方式具有的特點或好處。2 .設計的數(shù)字鐘應具有的基本功能:數(shù)字顯示小時、分、秒。設計要求精度為1秒,可24小時制或12小時制。并還具有擴展功能。3 .數(shù)字鐘的工作方框圖、電路原理圖。分別說明各功能模塊的基本組成、工作機

2、理、畫出各功能模塊的電路圖。各個模塊之間怎樣聯(lián)系,時鐘信號傳輸路徑、 方向和頻率變化用文字對原理圖作輔助說明。4 .根據(jù)電路原理圖。說明使用集成電路的邏輯功能、主要參數(shù)、使用方法、引腳 安排,并說明所選用的其它電子元件的功能。5 .實現(xiàn)電路。選擇合適的芯片以及顯示器件。6 .小結:設計過程中遇到的問題及解決辦法、課程設計過程體會、創(chuàng)新點、新穎性、應用價值等。要求完成的主要任務:本課題要求完成的主要任務是如何實現(xiàn)數(shù)字鐘。 首先是對數(shù)字鐘的基本知識有 個大致回顧,說明數(shù)字鐘的實現(xiàn)方法;其次說明所選用的設計方法的特點;然后 實現(xiàn)電路的原理、各功能模塊的作用及相互關系,并在軟件仿真平臺上實現(xiàn)電路; 最

3、后在硬件平臺上完成數(shù)字鐘的制作。指導教師簽名: 教研室主任簽名:湖北輕工職業(yè)技術學院畢業(yè)設計(論文)開題報告題目數(shù)字電子鐘的設計系部 信息工程系專業(yè) 電子信息工程技術班級姓名學號指導教師2013年2月27 日一、選題的依據(jù)及意義隨著電子技術的發(fā)展,電子時鐘在各個領域的運用也越來越廣泛。人們對它的 認識也逐步加深,且對于電子時鐘的性能問題要求也越來越高。所以此課題重在 時鐘的功能的設計上不斷完善,外加自己的創(chuàng)意,解決一些實際問題,讓理論與 實踐結合起來。二、國內(nèi)外研究概況及發(fā)展趨勢電子鐘有著很長的歷史,從民國19年的電鐘,研制始于60年代中期的國內(nèi)電 晶體、半導體管鐘,到研制始于70年代末的石英

4、電子鐘,再到今天我們所用的智 能電子鐘。以前的電子鐘存在著很多缺點,其外觀體積龐大,在功能上有死擺、 走時時間不長、走時精確度不高等缺點。如今無論是外觀,還是在功能上,電子 鐘都有了很大的改進。數(shù)字鐘是采用數(shù)字電路實現(xiàn)對時,分,秒.數(shù)字顯示的計時裝置,廣泛用于個人 家庭,車站,碼頭辦公室等公共場所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵?,由于?shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應用 ,使得數(shù)字鐘的精度,遠遠超過 老式鐘表,鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地擴展了 鐘表原先的報時功能。諸如定時自動報警、按時自動打鈴、時間程序自動控制、 定時廣播、自動起閉路燈、定時開關烘箱、通斷

5、動力設備、甚至各種定時電氣的 自動啟用等,所有這些,都是以鐘表數(shù)字化為基礎的。因此,研究數(shù)字鐘及擴大 其應用,有著非?,F(xiàn)實的意義.三、研究內(nèi)容及實現(xiàn)方案數(shù)字鐘是一種用數(shù)字電路技術實現(xiàn)時、分、秒計時的裝置,與機械式時鐘相比 具有更高的更長的使用壽命,因此得到了廣泛的使用。在數(shù)字鐘的發(fā)展上看,主 要向小型化、多功能化發(fā)展。數(shù)字鐘從原理上講是一種典型的數(shù)字電路,其中包 括了組合邏輯電路和時序電路。傳統(tǒng)的設計方法有兩種,一是利用組合邏輯電路 和時序電路等中小規(guī)模集成電路來設計;一是利用單片機編程技術來設計。這兩 種設計都存在硬件復雜,設計周期長,成本高等缺點。本次需要設計一個數(shù)字電 子時鐘,以單片機為

6、核心器件。自動計時,由液晶顯示器顯示時,分和秒。具備 定時鬧鐘功能,具有很強的適用性,可廣泛應用于市場。1 .經(jīng)過網(wǎng)絡搜索,及相關書目,查詢本設計需要的資料2 .查閱相關的單片機信息,選擇合適的單片機和相關元件3 .確定設計方案,寫出方案的實現(xiàn)步驟,書寫中文摘要4 .畫出控制原理圖,焊接硬件并對程序進行調(diào)試5 .撰寫論文。四、 目標、主要特色及預計工作進度目標是設計一個以單片機為核心器件。自動計時,由6位LED顯示器顯示時,分和秒的數(shù)字電子鐘。其主要特色是具有定時鬧鐘功能。2013年3月5-12日:搜集相關資料,熟悉畢業(yè)設計課題;2013年3月13-17日:總體方案設計;2013年3月18-3

7、1日:軟件系統(tǒng)設計;2013年4月1-15日:硬件系統(tǒng)設計及電路制作;2013年4月16-30日系統(tǒng)調(diào)試;2013年5月1-15日編寫畢業(yè)論文。摘要加入世貿(mào)組織后,中國將面臨激烈的競爭。這場比賽將是一場科技實力,管理水 平和人才素質(zhì)的較量,風險和機遇并存,及電子產(chǎn)品的發(fā)展變化迅速,不僅在通 信技術的數(shù)字替代模擬信號,甚至在我們?nèi)粘5纳钭寯?shù)字化取締.相比模擬鐘能給人一種一目了然的感覺,它不僅可以顯示在同一時間,時、分和秒,并且可以 完成準確的校正。同時,數(shù)字時鐘可以準確的時間,你的時間精確到報時的聲音, 提醒你在這個時候,需要做的事情。老式時鐘比它更適合現(xiàn)代生活。一個數(shù)字時 鐘振蕩器,計數(shù)器,

8、譯碼器和顯示器電路精確時間“小時”“分” “秒”與數(shù)字顯小,并需要校上電路使其準確的工作也刊市定時和計時功能,數(shù)字鐘及擴大 其應用,有著非常現(xiàn)實的意義。關鍵詞:數(shù)字鐘 振蕩器 計數(shù)器 譯碼顯示湖北輕工職業(yè)技術學院目 錄引 言2第1章數(shù)字電子時鐘總體設計 31.1 設計方案 31.1.1 核心控制方案 31.1.2 顯示部分方案 31.1.3 鍵盤方案31.2 方案選擇31.2.1 時間顯示41.2.2 時間調(diào)整41.2.3 鬧鐘設置4第2章數(shù)字電子時鐘硬件電路設計 52.1 硬件電路采用器件 52.2 AT89C51單片機模塊 52.3 可編程I/O 擴展一8155芯片模塊 62.3.1 81

9、55 芯片的內(nèi)部結構 62.3.2 8155 芯片與51單片機接口 62.4 顯示模塊72.4.1 數(shù)碼管結構及工作原理 72.4.2 數(shù)碼管分類72.4.4 數(shù)碼管光源的優(yōu)缺點 82.5 矩陣鍵盤模塊92.5.1 矩陣鍵盤的工作原理 9第3章數(shù)字電子時鐘軟件電路設計 103.1 系統(tǒng)軟件設計流程圖 103.1.1 主程序流程103.1.2 按鍵處理流程 103.1.3 定時器中斷流程 103.1.4 時間顯示流程 11第4章數(shù)字電子時鐘系統(tǒng)調(diào)試 134.1 軟、硬彳牛調(diào)試134.1.1 測試 AT89C51 單片機、8155、74LS07 芯片134.1.2 測試硬件電路134.1.3 軟件

10、電路調(diào)試 134.2 統(tǒng)一調(diào)試13總結14致 謝14參考文獻 16附錄1720世紀末,電子技術獲得了飛速的發(fā)展,在其推動下,現(xiàn)代電子產(chǎn)品幾乎滲 透了社會的各個領域,有力地推動了社會生產(chǎn)力的發(fā)展和社會信息化程度的提高, 同時也使現(xiàn)代電子產(chǎn)品性能進一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來越快。時間對人們來說總是那么寶貴,工作的忙碌性和繁雜性容易使人忘記當前的時間。忘 記了要做的事情,當事情不是很重要的時候,這種遺忘無傷大雅。但是,一旦重 要事情,一時的耽誤可能釀成大禍。目前,單片機正朝著高性能和多品種方向發(fā)展趨勢將是進一步向著科技化、 低功耗、小體積、大容量、高性能、低價格和外圍電路內(nèi)裝化等幾個方面發(fā)展

11、。 下面是單片機的主要發(fā)展趨勢。單片機應用的重要意義還在于,它從根本上改變 了傳統(tǒng)的控制系統(tǒng)設計思想和設計方法。從前必須由模擬電路或數(shù)字電路實現(xiàn)的 大部分功能,現(xiàn)在已能用單片機通過軟件方法來實現(xiàn)了。這種軟件代替硬件的控 制技術也稱為微控制技術,是傳統(tǒng)控制技術的一次革命。單片機模塊中最常見的是數(shù)字鐘,數(shù)字鐘是一種用數(shù)字電路技術實現(xiàn)時、分、 秒計時的裝置,與機械式時鐘相比具有更高的準確性和直觀性,且無機械裝置, 具有更更長的使用壽命,因此得到了廣泛的使用。電子鐘有著很長的歷史,從民國19年的電鐘,研制始于60年代中期的國內(nèi) 電晶體、半導體管鐘,到研制始于 70年代末的石英電子鐘,再到今天我們所用的

12、 智能電子鐘。以前的電子鐘存在著很多缺點,其外觀體積龐大,在功能上有死擺、 走時時間不長、走時精確度不高等缺點。如今無論是外觀,還是在功能上,電子 鐘都有了很大的改進。數(shù)字鐘是采用數(shù)字電路實現(xiàn)對時,分,秒.數(shù)字顯示的計時裝置,廣泛用于個人 家庭,車站,碼頭辦公室等公共場所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵?,由于?shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應用,使得數(shù)字鐘的精度,遠遠超過老式鐘表,鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地擴展了 鐘表原先的報時功能。諸如定時自動報警、按時自動打鈴、時間程序自動控制、 定時廣播、自動起閉路燈、定時開關烘箱、通斷動力設備、甚至各種定時電氣的

13、自動啟用等,所有這些,都是以鐘表數(shù)字化為基礎的。因此,研究數(shù)字鐘及擴大 其應用,有著非?,F(xiàn)實的意義。第1章 數(shù)字電子時鐘總體設計1.1 設計方案1.1.1 核心控制方案采用單片機作為數(shù)字電子時鐘的核心控制部分1.1.2 顯示部分方案方案一:靜態(tài)顯示就是當 CPU將要顯示的字或字段碼送到輸出口,顯示器就 可以顯示出所要顯示的字符,如果 CPU不去改寫它,它將一直保持下去;靜態(tài)顯 示硬件開銷大,電路復雜,信息刷新速度慢。方案二:動態(tài)顯示則是一位一位地輪流點亮顯示器地各個位(掃描)。對于顯示器的每一位而言,每隔一段時間點亮一次;動態(tài)顯示耗能較小,但編寫程序較 復雜。動態(tài)顯示硬件連接簡單,信息刷新速度

14、快。1.1.3 鍵盤方案矩陣式鍵盤。矩陣式鍵盤采用的是行列式結構,按鍵設置在行列的交點上.(當 接口線數(shù)量為8時,可以將4根接口線定義為行線,另4根接口線定義為列線,形成 4X 3鍵盤,可以配置12個按鍵。)1.2 方案選擇經(jīng)過論證,決定采用AT89C51單片機作為數(shù)字電子時鐘的核心部分,采用8155 以及6位數(shù)碼管作為顯示系統(tǒng),采用4X3矩陣鍵盤作為數(shù)字電子時鐘的控制系統(tǒng) 的方案。8155如圖1-1數(shù)字電子時鐘硬件電路圖AT89C51圖1-1數(shù)字電子時鐘控制系統(tǒng)1.2.1 時間顯示由于本方案需要顯示時、分、秒三方面內(nèi)容,所以計劃采用 6位數(shù)碼管作為 顯示系統(tǒng),上電后系統(tǒng)自動進入時鐘顯示,從

15、00:00:00開始計時,此時可以設定 當前時間。1.2.2 時間調(diào)整按下矩陣鍵盤上的 C/R鍵(時間設定/起動計時鍵),系統(tǒng)停止計時,進入時 間設定狀態(tài),系統(tǒng)保持原有顯示,等待鍵入當前時間,根據(jù)需要按下09數(shù)字鍵可以順序設置分和秒,并在相應的 LED上顯示設置值。6位設置完畢后,系統(tǒng)將從 設定后的時間開始計時顯示。1.2.3 鬧鐘設置按下ALM (鬧鐘設置/啟鬧/停鬧鍵),系統(tǒng)繼續(xù)計時,顯示00:00:00 ,進入 鬧鐘設置狀態(tài),等待鍵入啟鬧時間,根據(jù)需要按下 09數(shù)字鍵可以順序進行相應 的時間設置,并在相應的LED上顯示設置值。6位設置完畢后,系統(tǒng)啟動定時啟鬧 功能,并恢復時間顯示。定時時

16、間一到,蜂鳴器就會鳴叫,直至重新按下ALM鍵停鬧,并取消鬧鐘設置。第24頁第2章 數(shù)字電子時鐘硬件電路設計2.1 硬件電路采用器件數(shù)字電子時鐘電路有80C51單片機、可編程I/O接口芯片8155、4X3鍵盤輸 入電路、6位LED顯示輸出電路及74LS07為主要部件的蜂鳴器啟鬧電路組成。如圖2-1數(shù)字電子時鐘硬件電路圖U2L圖2-1數(shù)字電子時鐘硬件電路圖dp g fed c- b ia888888LEDO LED I LED2 LEI3 LEE4 LtO3!PI.0PLIp。nPO.lPi.2POJPt.3POJPl.4POTPL .5PO.JPL.6pm 6PI.7P0.7INTIP2.UIN

17、TOP2.Lrb EK5 p1 2TlP2 iTOP2.4R.SETRXD一TXD52 ALE.TROGWRPSENADOPAOAD1PAIAD2PAADJPA3AD4PA4ADSPA5AD&PA6AD7PA7PI訓CFPBINI55PB2WRPB3IO/HPB4ALEPB5P06PB7timer or rPCDPCI TIM EH IN?C2PC3PC4RESEIPCS2.2 AT89C51單片機模塊AT89C51單片機是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲器(FPEROM Falsh Programmable and Erasable Read Only Memory )的低電壓,高性能

18、 CMOS8 位微處理器,俗稱單片機。該器件采用 ATMEH密度非易失存儲器制造技術制造, 與工業(yè)標準的MCS-5甘旨令集和輸出管腳相兼容。由于將多功能 8位CPUffi閃爍存 儲器組合在單個芯片中,ATMEL勺AT89C51是一種高效微控制器,為很多嵌入式控 制系統(tǒng)提供了一種靈活性高且價廉的方案。2.3 可編程I/O擴展一8155芯片模塊8155是單片機常用的接口擴展芯片,其內(nèi)部包含 256B的靜態(tài)RAM 2個8位 的并行I/O接口,1個6位的并行I/O接口和一個14位的定時/計數(shù)器。2.3.1 8155 芯片的內(nèi)部結構8155芯片內(nèi)部共包括4個部分,分別是1、256B的靜態(tài)RAM存取時間為

19、400ns2、3個通用的輸入/輸出口 PA PB PC3、1個14位的可編程定時/計數(shù)器。4、1個8位命令寄存器(只能寫入)/8位狀態(tài)寄存器(只能讀出)。8155芯片的具體結構如圖 2-2。圖2-2 8155 芯片結構圖2.3.2 8155 芯片與51單片機接口8155芯片與51單片機接口如圖2-3所示圖2-3 8155芯片與51單片機接口2.4 顯示模塊根據(jù)硬件電路要求,本次數(shù)字電子時鐘設計決定采用6位數(shù)碼管作為顯示模塊。2.4.1 數(shù)碼管結構及工作原理LED數(shù)碼管(LEDSegmentDisplays )是由多個發(fā)光二極管封裝在一起組成 “8” 字型的器件,引線已在內(nèi)部連接完成,只需引出它

20、們的各個筆劃,公共電極。 LED 數(shù)碼管常用段數(shù)一般為7段有的另加一個小數(shù)點,還有一種是類似于3位“+1”型。位數(shù)有半位,1, 2, 3, 4, 5, 6, 8, 10位等等。例如圖2-4是4位8段共陰 極數(shù)碼管的內(nèi)部電路,它們的發(fā)光原理是一樣的,只是它們的電源極性不同而已。 顏色有紅,綠,藍,黃等幾種。led數(shù)碼管廣泛用于儀表,時鐘,車站,家電等場 合。選用時要注意產(chǎn)品尺寸顏色,功耗,亮度,波長等。圖2-4 4位8段數(shù)碼管的連接2.4.2 數(shù)碼管分類2.4.2.1 從控制方式上分:分為內(nèi)控方式(內(nèi)部有單片機,通電自動變色)和外控方式(需要外接控制 器才能變色)2.4.2.2 從變化方式上分:

21、分為固定色彩的和七彩、全彩的;固定色彩的是用來勾輪廓的,全彩的可以 勾輪廓,也可以組成管屏顯示文字、視頻等。2.4.2.3 從尺寸上分:有D50的、D30的,D50和D30表示直徑。2.4.2.4 從內(nèi)部可控性上分:有1米6段的,有1米8段的和1米12段、1米16段、1米32段的。也就 是1米的管子內(nèi)有幾段可以獨立受控;1米段數(shù)越多,做視頻的效果越好。如果密 度低,或者做些追逐效果,做1米6段也就可以了。2.4.2.5 從LED數(shù)量上分:有1米96顆燈的,有1米144顆燈的;燈越多效果越好。一般做全彩的都是 用1米144顆燈的。2.4.2.6 從供電上分:分為高壓供電(直接220V供電)和低壓

22、供電(12v供電,220v電源需要加開關 電源轉(zhuǎn)換);一般選擇低壓供電的,比較可靠穩(wěn)定,高壓供電的容易燒毀。2.4.2.7 按像素點分:一米16段燈管 就是1米的燈管有16個像素點。一般有6段數(shù)碼管、8段數(shù) 碼管、12段數(shù)碼管、16段數(shù)碼管、32段數(shù)碼管等,16段的比較多。如6段數(shù)碼 管一般使用在輪廓項目上。2.4.4 數(shù)碼管光源的優(yōu)缺點總的來說,LED光源的來源有兩種做法:一種是使用傳統(tǒng)小功率LED作組合,一般多達上百顆甚至數(shù)百顆,電源設計復雜。另一種是使用大功率管作光源,價 格比較貴。兩種方法都不可避免地要將散熱設計和工作可靠性作為主要設計考慮 因素,國內(nèi)多應用于政府示范性工程,真正市場化

23、運作的工程很少,國外這方面 的應用實例較多,但其最大的缺點依然是可靠性、出光流明數(shù)和價格,很多工程 由于LED品質(zhì)低劣,沒有很好地表現(xiàn)出壽命長的優(yōu)點。還有,從成本、市場的角 度考慮,LED作為照明光源,具是否與太陽能結合使用,在設計上需要走不同的路 線,并不是單獨作為一種光源來開發(fā)就能完成的。2.5矩陣鍵盤模塊矩陣鍵盤是為了當鍵盤中按鍵數(shù)量較多時,為了減少 I/O 口的占用,將按鍵 排列成矩陣形式,如圖2-55所示。2.5.1矩陣鍵盤的工作原理在矩陣式鍵盤中,每條水平線和垂直線在交叉處不直接連通,而是通過一個 按鍵加以連接。這樣,一個端口(如 P1 口)就可以構成4X4=16個按鍵,比之直 接

24、將端口線用于鍵盤多出了一倍,而且線數(shù)越多,區(qū)別越明顯,比如再多加一條 線就可以構成20鍵的鍵盤,而直接用端口線則只能多出一鍵(9鍵)。由此可見, 在需要的鍵數(shù)比較多時,采用矩陣法來做鍵盤是合理的。矩陣式結構的鍵盤顯然比直接法要復雜一些,識別也要復雜一些,如圖2-16所示,列線通過電阻接正電源,并將行線所接的單片機的I/O 口作為輸出端,而列線所接的I/O 口則作為輸入。這樣,當按鍵沒有按下時,所有的輸入端都是高 電平,代表無鍵按下。行線輸出是低電平,一旦有鍵按下,則輸入線就會被拉低, 這樣,通過讀入輸入線的狀態(tài)就可得知是否有鍵按下了。第3章 數(shù)字電子時鐘軟件電路設計3.1 系統(tǒng)軟件設計流程圖3

25、.1.1 主程序流程主程序上可分為啟動定時器、按鍵檢測、時間顯示三個部分。如圖 3-1啟動定時器按鍵檢測時間顯示圖3-1主程序流程圖3.1.2 按鍵處理流程按鍵處理是先檢測秒按鍵是否按下,秒按鍵如果按下,秒就加 1;如果沒有按 下,就檢測分按鍵是否按下,分按鍵如果按下,分就加 1;如果沒有按下,就檢測 時按鍵是否按下,時按鍵如果按下,時就加1;如果沒有按下,就把時間顯示出來。 如圖3-23.1.3 定時器中斷流程定時器中斷時是先檢測1秒是否到,1秒如果到,秒單元就加1;如果沒到, 就檢測1分鐘是否到,1分鐘如果到,分單元就加1;如果沒到,就檢測1小時是 否到,1小時如果到,時單元就加1,如果沒

26、到,就顯示時間。3.1.4 時間顯示流程時間顯示是先秒個位計算顯示,然后是秒十位計算顯示,再是分個位計算顯 示,再然后是分十位顯示,再就是時個位計算顯示,最后是時十位顯示。圖3-2按鍵處理流程圖圖3-3定時器中斷流程圖第4章 數(shù)字電子時鐘系統(tǒng)調(diào)試4.1 軟、硬件調(diào)試4.1.1 測試 AT89C51 單片機、8155、74LS07芯片主要內(nèi)容:檢測各芯片是否完好,各引腳、各功能是否正常。4.1.2 測試硬件電路主要內(nèi)容:檢測硬件電路板焊接是否完好,有無短路、短路情況出現(xiàn)。4.1.3 軟件電路調(diào)試主要內(nèi)容:將所編寫程序輸入仿真系統(tǒng),檢測程序有無錯誤或遺漏。4.2 統(tǒng)一調(diào)試主要內(nèi)容:將硬件和軟件結合

27、起來進行系統(tǒng)的統(tǒng)一調(diào)試,實現(xiàn)PC機與單片機通訊,使單片機能通過數(shù)碼管顯示時間。總結本系統(tǒng)是以單片機AT89C51為核心,利用I/O擴展芯片8155和6位數(shù)碼 管顯示時間,并通過矩陣鍵盤進行調(diào)節(jié)。此外此時鐘還具有鬧鐘功能,當鬧鐘啟 鬧時會通過蜂鳴器發(fā)出聲音,已達到提醒人的目的。數(shù)字電子時鐘以其功能全面、 調(diào)試方便、造價低廉,可長期穩(wěn)定使用等特點滿足了大多數(shù)人的需要。當秒的開關接由晶體振蕩器直接生成的1HZ信號,分、時的開關分別接來自 秒、分的進位時,LED顯示器可準確的顯示 0: 00: 0023: 59: 59, 24小時 制的時間計數(shù)。通過對時、分兩開關調(diào)節(jié),可分別實現(xiàn)調(diào)時調(diào)分的功能。通過對

28、定時電路中,分、時的開關的調(diào)節(jié),可定時。當時間顯示為所定時間 時,可實現(xiàn)一分鐘的報時。在整套監(jiān)測系統(tǒng)中,主要的環(huán)節(jié) AT89C51單片機的處理和數(shù)碼管顯示。通過 此次的設計使我明白了電子時鐘的結構及原理,以及電子時鐘應用在我們身邊的 每個角落的原因。我相信,通過不斷的實驗以及這項技術不斷地變成熟,數(shù)字電 子時鐘會更廣泛的應用在我們的生活中。致謝歷時將近幾個月的時間,畢業(yè)設計終于接近尾聲,在論文的寫作過程中遇到 了無數(shù)的困難和障礙,但在老師以及同學們的幫助下各個困難都被我們擊破。在 這里,我要衷心的感謝我的指導老師一一趙老師,他對我的教導和幫助,讓我學 會了很多的專業(yè)知識,補充了我的許多不足。及

29、時的幫助我解決不懂的問題并不 厭其煩的幫助我們對論文進行修改。感謝這篇論文所涉及到的各位學者。本文引用了數(shù)位學者的研究文獻,如果 沒有各位學者的研究成果的幫助和啟發(fā),我將很難完成本篇論文的寫作。感謝我的同學和朋友,在我寫論文的過程中給予我了很多素材,還在論文的 撰寫和排版燈過程中提供熱情的幫助。由于我的學術水平有限,所寫論文難免會有不足之處,懇請各位老師批評和 指正!1張曄等.單片機應用技術M.高等教育出版社.2006-62夏敏磊.電子電路分析制作與調(diào)試M.電子工業(yè)出版社.2010-103郭天祥.51單片機及C語言教程M.電子工業(yè)出版社.2009-124廖芳.電子產(chǎn)品制作工藝與實訓M.電子工業(yè)

30、出版社.2010-75楊旭,劉盾.EDAg術基礎與實驗教程M.清華大學出版社.2010-76謝嘉奎.電子線路M.高等教育出版社.2003-2口 胡翔酸.電路基礎M.高等教育出版社.2009-128王毓銀.數(shù)字電路邏輯設計M.高等教育出版社.2004-2附錄主程序代碼如下。ORG0000HAJMPMAINORG000BHAIMPCLOCKORG0030HPORTEQU8000HPOTTAEQU8001HPORTBEQU8002HPORTCEQU8003HDISP0EQU30HDISP1EQU31HDISP2EQU32HDISP3EQU33HDISP4EQU34HDISP5EQU35HHOUREQ

31、U3CHMINEQU3DHSECEQU3EHMSECEQU3FHAHOUREQU40HAMINEQU41HASECEQU42HF1EQUPSW.1MAIN:MOVSP,#50H;設置堆棧區(qū)MOVXDPRT,#PORT;8155初始化MOVA,#03HMOVXDPTR,ACLRF1;鬧鐘標志位清零CLRF0;允許計時顯示MOVAHOUR,#0FFH;鬧鐘值寄存區(qū)置初值MOVAMIN,#0FFHMOVASEC,#1FFHMOVR7,#10;顯示緩沖區(qū)、計時緩沖區(qū)清零MOVRO,#DISP0CLRALOOP:MOVRO,AINCR0DJNZR7, LOOPMOVTMOD,#01H;定時器T0初始化M

32、OVTL0, #0B0HMOVTHQ #3CHSETBTR0;啟動定時器SETBEA;開中斷SETBET0BENGIN:ACALLALARM;調(diào)用定時比較子程序ACALLKEYSCAN;調(diào)用鍵盤掃描子程序CJNEA,#0AH,NEXT1;判斷是否是C/R鍵CLRTRO;暫時停止計時MOVR1, #HOUR;地址指針指向計時緩沖區(qū)首地址AJMPMODNEXT1:CJNEA,#0BH,BEGIN;判斷是否是ALM建JBF1, NEXT2;判斷鬧鐘是否正在鬧啊MOVRI,#HOUR;地址指針指向鬧鐘值寄存區(qū)首地址MOD:SETBF0;禁止顯示計時時間ACALLMODIFY;調(diào)用時間設置/鬧鐘定時子程

33、序SETBTR0;重新開始計時CLRF0;恢復顯示計時時間AJMPBEGINNEXT2:SETBP1.0;鬧鐘正在鬧響、停鬧CLRF1;鬧鐘標志清零AJMPBEGIN定時器T0中斷服務子程序代碼如下。CLOCK:PUSHPSW;保護現(xiàn)場PUSHACCMOVTL0, #0B0HMOVTHQ #3CH;重裝初值,時間校正INCMSECMOVA,MSECCJNEA,#0AH,DONEMOVMSEC,#00HMOVA,SECINCADAA;二一一十進制轉(zhuǎn)換MOVSEC,ACJNEA,#60H,DONEMOVSEC,#00HMOVA,MININCADAAMOVMIN,ACJNEA,#60H,DONEMO

34、VMIN,#00HMOVA,HOURINCADAAMOVHOUR,ACJNE A,#24H,DONE MOVHOUR,#00HDONE:POPACCPOPPSWRET1時間設置/鬧鐘定時子程序代碼如下。 MODIFY: ACALL KEYIN;調(diào)用鍵盤設置子程序ACALL COMB;調(diào)用合子子程序RET鍵盤設置子程序代碼如下。KEYIN:PUSH PSW;保護現(xiàn)場PUSH ACCSETBRS1MOVRO,#DISP0;R0指向顯示緩沖區(qū)首地址MOVR7, #06H;設置鍵盤輸入次數(shù)L1:CLRRS1ACALL KEYSCAN;調(diào)用鍵盤掃描子程序,取按下鍵的鍵 號SETBRS1CJNE A,#0

35、AH,L2;所鍵入數(shù)合法性的檢測(是否大于 9)L2:JNCL1;按鍵值大于9,重新輸入MOVRO,A;鍵號送顯示緩沖區(qū)INCR0DJNZR7, L1;判斷6位時間是否輸入完,若未完則 繼續(xù)CLRRS1;恢復現(xiàn)場POPACCPOPPSWRET鍵盤掃描仔程序代碼如下。KEYSCAN: ACALL TEST;調(diào)用判斷按鍵是否按下的子程序 TESTJNZREMOV;有鍵按下時,調(diào)用消抖動延時子程序ACALL DISPLAYACALL ALARMAJMP KEYSCAN;無鍵按下時,繼續(xù)判斷是否啟鍵按下REMOV: ACALL DISPLAY;調(diào)用顯示子程序,延時消抖動ACALL TEST;再次判斷是

36、否啟鍵按下JNZLIST;有鍵按下時,跳轉(zhuǎn)至逐列掃描ACALL DISPLAYACALL ALARMAJMPKEYSCAN;無鍵按下時,繼續(xù)判斷是否有鍵按下LIST:MOVR2, #0FEH;首列掃描字送R2MOVR3, #00H;首列鍵號送R3LINE0:MOVDPTR,#PORTA;DPTR旨針指向8155的A 口MOVA,R2;首列掃描字送AMOVXDPTR,A;首列掃描字送8155的A 口MOVDPTR,#PORTC;DPTR旨針指向8155的COMOVXA, DPTR;讀入C口的行狀態(tài)JBACC.Q LINE1;第0行鍵無鍵按下,轉(zhuǎn)至第1行MOVA,#00H;第0行有鍵按下,行首鍵號

37、送 AAJMPTRYK;求鍵號LINE1:JBACC.Q LINE2;第1行鍵無鍵按下,轉(zhuǎn)至第2行MOVA,#04H;第0行有鍵按下,行首鍵號送 AAJMPTRYK;求鍵號LINE2:JBACC.2, NEXT;第2行鍵無鍵按下,轉(zhuǎn)至下一列MOVA,#08H;第2行有鍵按下,行首鍵號送 AAJMPTRYK;求鍵號NEXT:INCR3;掃描卜一列MOVA,#08H;列掃描字送AJNBRLACC.3, EXITA;4列掃描完,重新進行下一輪掃描;4列未掃描完,掃描字左移,掃描卜 一列MOVR2, A;掃描字送AAIMPLINE0;轉(zhuǎn)網(wǎng)掃描卜一列EXIT:AJMPKEYSCAN;等待嚇一次按鍵TRY

38、K:ADDA,R3;按公式計算鍵他,求得鍵號PUSHACC;鍵號入棧保護LETK:ACALLTEST;等待按鍵釋放JNZLETK;按鍵未釋放,繼續(xù)等待POPRETACC;按鍵釋放,鍵號出棧TEST:MOVMOVDPRT,#PORTAA,#00H;DPRT旨針指向8155的A 口MOVXDPRT,A;全掃描字00H送8155的A 口MOVDPRT,#PORTC;DPRT旨針指向8155的COMOVXA, DPRT;讀入C 口行狀態(tài)CPLA;A取反,以高電平表示有鍵按下ANLRETA,#07H;屏蔽圖5位顯示子程序代碼如下DISPLAY:JBACALLF0, DISPSEPA;允許時間顯示標志 F

39、0=1,轉(zhuǎn)至DISP;否則調(diào)用SEPA刷新顯示緩沖區(qū)DISP:PUSHPSW;動態(tài)掃描顯示子程序PUSHACCSETBRS0MOVDPRT,#PORTA;關顯示MOVA,#0FFHMOVXDPTR,AMOVRO, #DISP0MOVR7, #00HMOVR6, #06HMOVR5, #0FEHDIS1:MOVDPTR,#TABMOVA, R0MOVCA, A+DPTRMOVDPTR,#PORTAMOVXDPTR,AMOVDPTR,#PORTAMOVA,R5MOVXDPRT,AHERE:DJNZR7, HEREINCR0MOVA,R5RLAMOVR5, ADJNZR6, DIS1CLRRS0PO

40、PACCPOPPSWRETTAB:DB3FH,06H,5BH,4FH,66H,6DH,7DH,07HDB7FH,6FH,77H,7CH,39H,5EH,79H,71H 共陰極字型碼表合字子程序代碼如下。COMB:MOVRO, #DISP1;R0指向顯示緩沖區(qū)的小時地位ACALLCOMB1;合字CJNEA,#24H,CHKCHK:JNCEXIT1;大于24時則取消本次設置,退出MOVR1, A;小時送計時小時單元INCR1MOVRO, #DISP3;R0指向顯示緩沖區(qū)的分鐘低位ACALLCOMB1CJNEA,#60H,CHK1CHK1:JNCEXIT2;大于60時則取消本次設置,退出MOVR2,

41、 AINCR2MOVRO, #DISP5;R0指向顯示緩沖區(qū)的秒低位ACALLCOMB1CJNEA,#60H,CHK2CHK2:JNCEXIT1;大于60時則取消本次設置,退出MOVR1, ARETEXIT1:AJMPMAIN;輸入不合法則退出,計時重新清零COMB1:MOVA, R0ANLA,#0FH;取出低位MOV43H,A;暫存于43H單元DECR0;指向晨j位MOVA, R0ANLA,#0FHSWAPA;高位送高4位ORLA,43H;高、低位合并RET拆字子程序代碼如下。SEPA:PUSHPSWPUSHACCSETBRS0MOVRO, #DISP5;指向顯示緩沖區(qū)的秒低位MOVA,SE

42、CACALLSEPA1MOVA,MINACALLSEPA1MOVA,HOURACALLSEPA1CLRRS0POPACCPOPPSWRETSEPA1:MOV44H,A;暫存于44H單元ANLA,#0FH;取出低位MOVR0, A;送顯示緩沖區(qū)低位DECR0;指向顯小緩沖區(qū)局位MOVA,44HANLA,#0F0H;取出高位SWAPA;高位送往低4位,形成高位數(shù)據(jù)MOVR0, A;高位數(shù)據(jù)送顯示緩沖區(qū)高位RET定時比較子程序代碼如下。ALARM:MOVA,ASECCJNEA,SEC,BACK;秒單元相同則繼續(xù)比較,否則返回MOV A,AMINCJNE A,MIN,BACK MOVA,AHOURCJNE A,HOUR,BACKCLRP1.0SETBF1BACK:RET;分單元相同則繼續(xù)比較,否則返回;小時單元相同,定時時間到;起動鬧鐘鳴叫;鬧鐘標志置位畢 業(yè) 設 計 (論 文)士 兀成 情 況1.2013年2月27號完成了開題報告。2.2013年3月17號完成總體方案設計。3.2013年3月31號完成軟件設計。4.2013年4月15號完成硬件系統(tǒng)設計。指 導 教 師息 見指導教師(簽名):年月日

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關資源

更多
正為您匹配相似的精品文檔
關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!