九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

機(jī)電工程系《綜合課程設(shè)計》指導(dǎo)書

上傳人:ba****u 文檔編號:55683010 上傳時間:2022-02-18 格式:DOC 頁數(shù):60 大?。?.88MB
收藏 版權(quán)申訴 舉報 下載
機(jī)電工程系《綜合課程設(shè)計》指導(dǎo)書_第1頁
第1頁 / 共60頁
機(jī)電工程系《綜合課程設(shè)計》指導(dǎo)書_第2頁
第2頁 / 共60頁
機(jī)電工程系《綜合課程設(shè)計》指導(dǎo)書_第3頁
第3頁 / 共60頁

本資源只提供3頁預(yù)覽,全部文檔請下載后查看!喜歡就下載吧,查找使用更方便

60 積分

下載資源

資源描述:

《機(jī)電工程系《綜合課程設(shè)計》指導(dǎo)書》由會員分享,可在線閱讀,更多相關(guān)《機(jī)電工程系《綜合課程設(shè)計》指導(dǎo)書(60頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、綜合課程設(shè)計指導(dǎo)書機(jī)電工程系2015 年 1 月目錄一、本課程設(shè)計的地位和作用 2二、課程設(shè)計的目的 2三、課程設(shè)計的要求 2四、課程設(shè)計的具體步驟 3五、課程設(shè)計報告要求 4六、課程設(shè)計課題 6設(shè)計實(shí)例一:單片機(jī)恒溫箱溫度控制系統(tǒng)的設(shè)計 10設(shè)計實(shí)例二:小型SBR廢水處理PLC電氣控制系統(tǒng)課程設(shè)計 17本課程設(shè)計的地位和作用綜合課程設(shè)計是電路分析、模擬電子技術(shù)、數(shù)字電子技術(shù)、自動檢測技術(shù)、 自動控制原理、電力電子技術(shù)、單片機(jī)、PLC等課程之后的一門綜合性的設(shè)計課 程,目的在于加深學(xué)生對自動控制系統(tǒng)的組成、 工作原理等基本理論和基本知識 的理解,讓學(xué)生初步掌握自動控制系統(tǒng)分析和設(shè)計的基本方法和

2、仿真調(diào)試步驟, 強(qiáng)化學(xué)生所學(xué)專業(yè)知識的實(shí)用性、 應(yīng)用性和系統(tǒng)性, 使學(xué)生樹立系統(tǒng)和全面的觀 點(diǎn),從整體上把握自動控制系統(tǒng)的設(shè)計、構(gòu)成、實(shí)現(xiàn)等各個環(huán)節(jié),提高學(xué)生的創(chuàng) 新意識和實(shí)踐能力。二、課程設(shè)計的目的1加深對所學(xué)理論知識的理解,并能將其熟練應(yīng)用,做到理論知識與實(shí)際 應(yīng)用相結(jié)合;2學(xué)會簡單的自動控制系統(tǒng)設(shè)計步驟,通過查尋資料、方案比較,以及設(shè) 計計算及調(diào)試等環(huán)節(jié),進(jìn)一步提高多門學(xué)科知識的綜合應(yīng)用能力;3培養(yǎng)學(xué)生具有初步的系統(tǒng)分析能力、系統(tǒng)集成能力,能在系統(tǒng)的層面上 看待問題、解決問題。4培養(yǎng)獨(dú)立進(jìn)行實(shí)驗,包括電路布局、安裝、調(diào)試和排除故障的能力。 5培養(yǎng)書寫綜合設(shè)計實(shí)驗報告的能力。對本次課程設(shè)計

3、, 原則上指導(dǎo)老師只給出大致的設(shè)計要求, 在設(shè)計思路上不 框定和約束同學(xué)們的思維, 所以同學(xué)們可以發(fā)揮自己的創(chuàng)造性, 并力求設(shè)計方案 凝練可行、思路獨(dú)特、效果良好。三、課程設(shè)計的要求1在接受設(shè)計任務(wù)后,應(yīng)根據(jù)設(shè)計要求和應(yīng)完成的設(shè)計內(nèi)容進(jìn)度計劃,確 定各階段應(yīng)完成的工作量,妥善安排時間。2在方案確定過程中應(yīng)主動提出問題,以取得指導(dǎo)數(shù)師的幫助,同時要廣泛討論,依據(jù)充分。在具體設(shè)計過程中要多思考,尤其是主要參數(shù),要經(jīng)過計算 論證。3所有電氣圖樣的繪制必須符合國家有關(guān)規(guī)定的標(biāo)準(zhǔn),包括線條、圖型符 號、項目代號、回路標(biāo)號、技術(shù)要求、標(biāo)題欄、元器件明細(xì)表以及圖樣的折疊和 裝訂。4說明書要求文字通順、簡練,

4、字跡端正、整潔。5應(yīng)在規(guī)定的時間內(nèi)完成所有的設(shè)計任務(wù)。四、課程設(shè)計的具體步驟綜合課程設(shè)計的一般設(shè)計方法和步驟是: 分析設(shè)計任務(wù)和性能指標(biāo), 選擇總 體方案,設(shè)計單元電路及接口,選擇器件,計算參數(shù),畫總體電路圖。進(jìn)行仿真 試驗和性能測試。實(shí)際設(shè)計過程中往往反復(fù)進(jìn)行以上各步驟, 才能達(dá)到設(shè)計要求, 需要靈活掌握。1. 總體方案選擇 設(shè)計的第一步就是選擇總體方案,就是根據(jù)提出的設(shè)計任務(wù)要求及性能指 標(biāo),在系統(tǒng)的高度上,給出一個解決方案。首先決定控制方式,采用開環(huán)控制還 是閉環(huán)控制;采用恒值控制、 隨動控制還是程序控制。 然后用若干系統(tǒng)單元組成 一個整體, 來實(shí)現(xiàn)設(shè)計任務(wù)提出的各項要求和技術(shù)指標(biāo)。 此

5、階段要明確各單元的 功能及接口要求,并畫出系統(tǒng)結(jié)構(gòu)圖。設(shè)計過程中,往往有多種方案可以選擇,應(yīng)針對任務(wù)要求,查閱資料,權(quán)衡 各方案的優(yōu)缺點(diǎn),從中選優(yōu)。2. 系統(tǒng)單元的設(shè)計 常見的系統(tǒng)單元有電源單元、信息采集單元、放大單元、控制單元、驅(qū)動單 元、執(zhí)行單元、顯示單元、通信單元等。雖然它們的功能不盡相同,但設(shè)計的方 法和步驟卻相似。2.1 設(shè)計系統(tǒng)單元的一般方法和步驟A. 根據(jù)系統(tǒng)單元功能要求和接口要求,確定各單元電路的性能指標(biāo)。B. 擬定出各單元電路的要求后,對它們進(jìn)行設(shè)計。C. 單元電路設(shè)計應(yīng)采用符合的實(shí)用性和經(jīng)濟(jì)性的原則。2.2 元器件的選擇針對系統(tǒng)單元設(shè)計, 在搭建單元電路時, 對于特定功能單

6、元選擇主要集成塊 的余地較小。 比如時鐘電路選 555,轉(zhuǎn)換電路選 0809,譯碼及顯示驅(qū)動電路也都 相對固定。但由于電路參數(shù)要求不同,還需要通過選擇參數(shù)來確定集成塊型號。 一個電路設(shè)計, 單用一門課程內(nèi)容是不夠的, 往往同時摻有線性電路元件和集成 塊,因此還需對相應(yīng)內(nèi)容熟悉, 比如運(yùn)算放大器的種類和基本用法, 集成比較器 和集成穩(wěn)壓電路的特性和用法。 總之,構(gòu)建單元電路時, 選擇器件的電平標(biāo)準(zhǔn)和 電流特性很重要。 普通的門電路、時序邏輯電路、 組合邏輯電路、 脈沖產(chǎn)生電路、 數(shù)模和模數(shù)轉(zhuǎn)換電路、 采樣和存儲電路等, 參數(shù)選擇恰當(dāng)可以發(fā)揮其性能并節(jié)約 設(shè)計成本。單元電路設(shè)計過程中, 阻容元件的

7、選擇也很關(guān)鍵。 它們的種類繁多, 性能各 異。優(yōu)選的電阻和電容輔助于數(shù)字電路的設(shè)計可以使其功能多樣化、完整化。3. 電路仿真與調(diào)整首先進(jìn)行系統(tǒng)單元部分仿真與測試, 發(fā)現(xiàn)問題及時調(diào)整。 所有系統(tǒng)單元均經(jīng) 過仿真測試后, 再進(jìn)行系統(tǒng)集成仿真與測試, 此時主要測試各個系統(tǒng)單元的接口 是否正常, 各個單元配合到一起能否正常工作。 仿真測試時要逐個將系統(tǒng)單元增 加到系統(tǒng)中, 邊增加系統(tǒng)單元邊仿真測試。 集成測試中各系統(tǒng)單元的輸入輸出邏 輯關(guān)系與它們之間的正確傳遞決定了系統(tǒng)集成的成敗。4. 衡量設(shè)計的標(biāo)準(zhǔn) 工作穩(wěn)定可靠;能達(dá)到預(yù)定的性能指標(biāo),并留有適當(dāng)?shù)挠嗔?;電路簡單,?本低,功耗低;器件數(shù)目少,集成體

8、積小,便于生產(chǎn)和維護(hù)。五、課程設(shè)計報告要求課程設(shè)計報告應(yīng)包括以下內(nèi)容:1封面2摘要3目錄4設(shè)計任務(wù)及其具體要求。 5總體設(shè)計方案及系統(tǒng)功能結(jié)構(gòu)圖。 6系統(tǒng)單元設(shè)計(含各系統(tǒng)單元功能,輸入、輸出信號,系統(tǒng)單元原理圖 及其原理闡述,所選用的集成電路器件等。有的需要進(jìn)行驗證計算。 )。7整機(jī)電路圖(電路圖應(yīng)用標(biāo)準(zhǔn)邏輯符號繪制,電路圖中應(yīng)標(biāo)明接線引出 端名稱、元件編號等) 。8器件清單。9. 仿真調(diào)試結(jié)果及改進(jìn)措施。10. 總結(jié)與體會。11主要參考文獻(xiàn)六、課程設(shè)計課題1. 單相數(shù)顯電量表:最大電流30A,計量誤差1%有過流保護(hù)和停電數(shù)據(jù)保 存功能。不要求電量卡部分。2. 交流電流變送器: 檢測范圍 A

9、C 0-50A,輸出DC 0-5V, 4-20mA供電 DC 24V3. 速度里程表: 能顯示電動車當(dāng)前速度和總里程, 可以分段統(tǒng)計平均、 最大 速度和段里程。4. 超聲波測距系統(tǒng):測量距離100mm 2m,測量精度5mm5. 電動車有刷電機(jī)控制器:電機(jī)180Vy 36V,要求有過流保護(hù)、欠壓保護(hù)功 能。附加定速巡航功能,允許取消。6. 溫度控制儀:溫度控制范圍100-200 C,溫度傳感器為PT10Q加熱元件 功率1000W要求控制精度土C。7. 液位測量儀:能測量液位的深度(高度),量程0-100cm,誤差1%要求 適用于不同密度的液體測量。8. 直流數(shù)控電源:輸出1.5-15V,最大電流

10、1A,步進(jìn)電壓0.1V。有過流和 短路保護(hù)。9. 數(shù)字電子稱:稱重范圍:0-5Kg,誤差1%要求輸入單價,根據(jù)稱重可以 計算出總價,具有總價累加功能。10. LED點(diǎn)陣電子屏:設(shè)計一個163 32點(diǎn)陣LED電子顯示屏,內(nèi)容可以滾動 顯示。11. 停車場車位控制: 在出入口處設(shè)置傳感器,檢測車輛的出入情況。實(shí)時 顯示停車場空余車位數(shù),空余車位數(shù)為 0,則不允許車輛進(jìn)入。12. 病床呼叫系統(tǒng): 每一層樓有一護(hù)士站,每一護(hù)士站均有該層樓病人緊急 呼叫與處理完畢的重置按鈕。共有 10 個病房,每間病房 3 個床位。每個床位均 有緊急呼叫按鈕及重置按鈕。 病人按下緊急呼叫按鈕, 護(hù)士站顯示病房緊急呼叫

11、并閃爍指示燈。 按下護(hù)士處理按鈕以取消閃爍情況, 再依病房緊急呼叫順序處理 病房緊急事故, 若事故處理妥當(dāng)后, 病房緊急閃爍指示燈和病床上的緊急指示燈 方町被重置。13. 自助洗車機(jī)控制設(shè)計: 設(shè)計投幣 100 元自助洗車機(jī)。有 3 個投幣孔,分 別為5元、10元及 50元3種,當(dāng)投幣合計 100元或超過時,按啟動開關(guān)洗車機(jī) 才會動作,啟動燈亮起。7段數(shù)碼管會顯示投幣金額(用 BCD碼),當(dāng)投幣超過 100元時,可按退幣按鈕, 這時 7段數(shù)碼管會退回零, 表示找回余額(退幣選作)。 洗車機(jī)動作流程按規(guī)定的流程進(jìn)行。14. 機(jī)械手臂搬運(yùn)加工流程控制: 工作物由輸送帶 A 送到加工位置,然后由 機(jī)

12、械手臂將加工物送至工作臺 1 的位置進(jìn)行第一步驟加工。 當(dāng)?shù)谝徊襟E加工完成 后,機(jī)械手臂將工作物夾起再送至工作臺 2進(jìn)行第二步驟加工; 當(dāng)?shù)诙襟E加工 完成后,機(jī)械手臂將工作物放到輸送帶 B送走,然后由7段數(shù)碼管顯示加工完成 的數(shù)量。15. 自動售貨機(jī)的控制設(shè)計: 售貨共有 3種飲料供選擇, 分別為汽水(4 元)、 花茶( 6 元)和咖啡( 10 元),自動售貨機(jī)有 3 個投幣孔,分別為 1 元、5 元和 10元。投幣總額或當(dāng)前值顯示在 7段數(shù)碼管上。投幣值等于或大于貨物金額時, 貨物可選。按下對應(yīng)按鈕,則相對應(yīng)的指示燈開始閃爍, 3s 后自動停止,表示 飲料已經(jīng)掉出。 如投幣總額超過銷售價格

13、, 將可由退幣鈕找回余額, 退回金額如 果大于 10 元,則先退 10 元再退 1。16. 全自動洗衣機(jī):1. 按下啟動按扭及水位選擇開關(guān), 2.注水直到高(中、 低) 水位,關(guān)水,3.2s后開始洗滌,4.洗滌時,正轉(zhuǎn)30s,停2s,然后反轉(zhuǎn)30s,停 2s, 5. 如此循環(huán) 5 次,總共 320s 后開始排水,排空后脫水 30s, 6. 開始清洗, 重復(fù) 2-5 ,清洗兩遍, 7. 清洗完成,報警 3 秒并自動停機(jī)。 8. 若按下停車按扭, 可手動排水(不脫水)和手動脫水(不計數(shù)) 。17. 電子計算器設(shè)計: 由按鍵輸入的數(shù)值顯示在 7段數(shù)碼管上,但只限 4位 數(shù)。按加、減、乘、除鍵時, 第

14、一次輸入的值被存放在緩沖區(qū)中, 當(dāng)做被加、 減、 乘、除數(shù),且加、減、乘、除相對的運(yùn)算指示燈會亮。接著輸入一個數(shù),之后若 是按下“ =”鍵,則此加、減、乘、除數(shù)被存放于另一個緩沖區(qū)中,與剛才輸入 的數(shù)做運(yùn)算,且相對應(yīng)的運(yùn)算指示燈熄滅。將運(yùn)算結(jié)果顯示在 7 段數(shù)碼管上。18. 自動噴泉的PLC控制:有16個彩燈代表16個噴頭,有4個選擇按鈕, 采用S7-200PLC進(jìn)行控制,實(shí)現(xiàn)四種以上的自動噴泉花樣。19. 交通信號燈控制系統(tǒng) : 在南北向與東西向交錯的路口上,各設(shè)置紅、黃、 綠三種信號燈,以控制車輛和行人的通行。1.南北方向通車時綠燈亮25s,然后 黃燈亮警告5s后紅燈亮30s,如此循環(huán);2

15、.東西向則是先紅燈30s,然后綠燈亮 25s后黃燈亮5s,如此循環(huán);3.用數(shù)碼進(jìn)行30s的時間遞減顯示。20. 裝瓶流水線的PLC控制:有A1A10選瓶、裝瓶、蓋蓋、貼簽、傳送、 成品入庫生產(chǎn)線操作工序,用 10盞燈來模擬;并有啟動 / 停止、移位、復(fù)位按鈕 進(jìn)行操作。采用S7-200PLC進(jìn)行控制,實(shí)現(xiàn)手動,自動等四種以上的裝瓶流水線 工序控制。21. 數(shù)字頻率計: 設(shè)計一個以單片機(jī)為核心的頻率測量裝置。使用單片機(jī)的 定時器/計數(shù)器的定時和計數(shù)功能,外部擴(kuò)展 6位LED數(shù)碼管,要求累計每秒進(jìn) 入單片機(jī)的外部脈沖個數(shù),用 LED數(shù)碼管顯示出來。被測頻率fx=1000Hz,采用測頻法。22. 基

16、于單片機(jī)的多路數(shù)據(jù)采集 : 設(shè)計八路模擬信號自動采集與數(shù)據(jù)處理系 統(tǒng);以單片機(jī)作為下位機(jī),能夠完成模擬電壓信號采集和 A/D轉(zhuǎn)換,用三位數(shù)碼 管進(jìn)行顯示,要求對通道號和測量值進(jìn)行顯示。23. 小型機(jī)器人關(guān)節(jié)角控制 : 研究關(guān)節(jié)控制原理;設(shè)計關(guān)節(jié)控制方案;完成 硬件電路并搜集實(shí)驗數(shù)據(jù)。24. 智能定時搶答器設(shè)計: 幾個選手,誰先按下自己手中的按鈕, LED 顯示 器SM就會顯示相對應(yīng)的選手號碼。開始按鈕開啟之后,才可以搶答。25. 燃?xì)鉄崴骱銣乜刂葡到y(tǒng)設(shè)計:在了解燃?xì)鉄崴鹘Y(jié)構(gòu)的基礎(chǔ)上,完成燃?xì)鉄崴魉疁厍度胧秸{(diào)節(jié)控制系統(tǒng)的設(shè)計。水溫調(diào)節(jié)范圍20-60 C。26. 火災(zāi)報警及滅火控制系統(tǒng)設(shè)計:

17、1、設(shè)計一個由單片機(jī)控制的室內(nèi)火災(zāi)自動報警控制器; 2、采用感煙傳感器和感溫傳感器,能對室內(nèi)的煙霧及溫度的突 變進(jìn)行報警;3、有火災(zāi)發(fā)生時,產(chǎn)生聲、光報警信號;4、如火災(zāi)報警10s后不 解除,則控制啟動滅火裝置。27. 自動門控制系統(tǒng)設(shè)計: 1、有人來時(進(jìn)門或出門)開門。當(dāng)人走到離門 不遠(yuǎn)的時候時,安裝在門 上側(cè)的熱釋紅外線傳感器信號檢測裝置檢測到有人時, 將啟動電動機(jī)帶動傳動鏈 開門。 2、無人時關(guān)門延遲,當(dāng)熱釋收發(fā)裝置沒有檢測到有人在離門1m的范圍內(nèi),將延遲1秒啟動電動機(jī)帶動傳動鏈關(guān)門。3、關(guān) 門中途來人,立即開門。當(dāng)啟動電動機(jī)帶動傳動鏈關(guān)門時,感應(yīng)探頭 突然檢測 到在離門1m的范圍內(nèi)有

18、人,則立即停止電動機(jī)關(guān)門,啟動電動機(jī)帶動 傳動鏈開 門。28. 電阻測量儀:可以測試1Q1MQ的電阻,測量誤差小于1% LCD屏顯示。29. 可預(yù)約電飯鍋控制系統(tǒng)設(shè)計: 可預(yù)約工作時間,可選擇蒸熟飯后是保溫 還是斷電。具有過壓檢測保護(hù)功能。30. 光伏發(fā)電光照自動跟蹤系統(tǒng)設(shè)計:設(shè)計一個能夠檢測并指示點(diǎn)光源位置的模擬光伏發(fā)電太陽光自動跟蹤系統(tǒng)。31. 基于單片機(jī)的多功能智能小車設(shè)計:設(shè)計一個用單片機(jī)控制的智能小車, 具有循跡和避障功能。32. 單片機(jī)脈搏測量儀:利用光電傳感器作為變換原件,把采集到的用于檢 測脈搏跳動的紅外光轉(zhuǎn)換成電信號,放大后用單片機(jī)進(jìn)行顯示。設(shè)計實(shí)例一:單片機(jī)恒溫箱溫度控制系

19、統(tǒng)的設(shè)計設(shè)計要求:本溫度控制系統(tǒng)為以單片機(jī)為核心, 實(shí)現(xiàn)了對溫度實(shí)時監(jiān)測和控制, 實(shí)現(xiàn)了 控制的智能化。設(shè)計恒溫箱溫度控制系統(tǒng),配有溫度傳感器,采用DS18B2C數(shù)字 溫度傳感器,無需數(shù)模擬/數(shù)字轉(zhuǎn)換,可直接與單片機(jī)進(jìn)行數(shù)字傳輸,采用了 PID 控制技術(shù),可以使溫度保持在要求的一個恒定范圍內(nèi),配有鍵盤,用于輸入 設(shè)定溫度;配有數(shù)碼管LED用來顯示溫度。技術(shù)參數(shù)和設(shè)計任務(wù) :1、 利用單片機(jī)實(shí)現(xiàn)對溫度的控制,實(shí)現(xiàn)保持恒溫箱在最高溫度為110C。2、 可預(yù)置恒溫箱溫度,烘干過程恒溫控制,溫度控制誤差小于土2C。3、 預(yù)置時顯示設(shè)定溫度,恒溫時顯示實(shí)時溫度,采用PID 算法顯示精確到0.1 C。4、

20、溫度超出預(yù)置溫度土 5C時發(fā)出聲音報警。5、對升、降溫過程沒有線性要求。6溫度檢測部分采用DS18B2C數(shù)字溫度傳感器,無需數(shù)模擬/數(shù)字轉(zhuǎn)換, 可直接與單片機(jī)進(jìn)行數(shù)字傳輸7、人機(jī)對話部分由鍵盤、顯示和報警三部分組成,實(shí)現(xiàn)對溫度的顯示、報警。一、總體設(shè)計方案1、系統(tǒng)原理選用AT89C2051單片機(jī)為中央處理器,通過溫度傳感器DS18B20寸恒溫箱進(jìn) 行溫度采集,將采集到的信號傳送給單片機(jī),在由單片機(jī)對數(shù)據(jù)進(jìn)行處理控制顯 示器,并比較采集溫度與設(shè)定溫度是否一致,然后驅(qū)動恒溫箱的加熱或制冷。2、系統(tǒng)總結(jié)構(gòu)圖總體設(shè)計應(yīng)該是全面考慮系統(tǒng)的總體目標(biāo),進(jìn)行硬件初步選型,然后確定一個系統(tǒng)的草案,同時考慮軟硬件

21、實(shí)現(xiàn)的可行性。總體方案經(jīng)過反復(fù)推敲,確定了 以美國Atmel公司推出的51系列單片機(jī)為溫度智能控制系統(tǒng)的核心,并選擇低 功耗和低成本的存儲器、數(shù)碼顯示器等元件,總體方案如下圖:圖1系統(tǒng)總體框圖二、硬件各單元設(shè)計1、單片機(jī)最小系統(tǒng)電路單片機(jī)選用Atmel公司的單片機(jī)芯片AT89C2051,完全可以滿足本系統(tǒng)中要 求的采集、控制和數(shù)據(jù)處理的需要。單片機(jī)的選擇在整個系統(tǒng)設(shè)計中至關(guān)重要, 該單片機(jī)與MCS-51系列單片機(jī)高度兼容、低功耗、可以在接近零頻率下工作等 諸多優(yōu)點(diǎn),而廣泛應(yīng)用于各類計算機(jī)系統(tǒng)、工業(yè)控制、消費(fèi)類產(chǎn)品中。AT89C2051是 AT89系列單片機(jī)中的一種精簡產(chǎn)品。它是將AT89C51

22、的 P0 口、 P2 口、EA/Vpp ALE/PROG PSENH線省去后,形成的一種僅 20引腳的單片機(jī), 相當(dāng)于早期Intel8031的最小應(yīng)用系統(tǒng)。這對于一些不太復(fù)雜的控制場合,僅有 一片AT89C2051就足夠了,是真正意義上的“單片機(jī)” 。AT89C2051為很多規(guī)模 不太大的嵌入式控制系統(tǒng)提供了一種極佳的選擇方案,使傳統(tǒng)的 51系列單片機(jī)的體積、功耗大、可選模式少等諸多弱點(diǎn)不復(fù)存在。該型號單片機(jī)包括(1)一個8位的微處理器(CPU)。(2)片內(nèi)有2K字節(jié)的程序存儲器(ROM和128/256字節(jié)RAM(3)15條可編程雙向I/O 口線。(4)兩個16位定時器/計數(shù)器都可以設(shè)置成計數(shù)

23、方式,用以對外部事件進(jìn)行計 數(shù),也可設(shè)置成定時方式,并可以根據(jù)計數(shù)或定時的結(jié)果實(shí)現(xiàn)計算機(jī)控制。(5)五個中斷源的中斷控制系統(tǒng)。(6)個全雙工UATR通用異步接收發(fā)送器)的串行I/O 口,用于實(shí)現(xiàn)單片機(jī)之 間或單片機(jī)與微機(jī)之間的串行通信。(7)片內(nèi)含模擬比較器。(8)低功耗的閑置和掉電模式。+5V圖2最小系統(tǒng)電路AT89C205是一個20腳的雙列直插封裝(DIP)芯片。最小系統(tǒng)電路包括晶體振 蕩電路和手動復(fù)位電路,如圖2。本設(shè)計使用一片AT89C205就代替了原來的8031、EPROM2732地址鎖存器 74LS373,因為AT89C205內(nèi)部的2KBEPRO和 128B的RAM對智能化溫度傳感

24、器測試系統(tǒng)已能滿足設(shè)計要求,而且降低了成本,結(jié)構(gòu)設(shè)計也較精巧2、溫度傳感器采用數(shù)字溫度傳感器DS18B20與傳統(tǒng)的熱敏電阻相比,他能夠直接讀出被 測溫度并且可根據(jù)實(shí)際要求通過簡單的編程實(shí)現(xiàn) 912位的數(shù)字值讀數(shù)方式。可 以分別在93.75ms和750m內(nèi)完成9位和12位的數(shù)字量,并且從DS18B2讀出的信 息或?qū)懭隓S18B2的信息僅需要一根口線(單線接口)讀寫,溫度變換功率來源 于數(shù)據(jù)總線,總線本身也可以向所掛接的DS18B2供電,而無需額外電源。因而 使用DS18B2可使系統(tǒng)結(jié)構(gòu)更趨簡單,可靠性更高,成本更低。測量溫度范圍為 55C+125C。C,在一 10C+85C。C范圍內(nèi),精度為土

25、0.5 C。DS1822勺精度 較差為土 2C。現(xiàn)場溫度直接以“一線總線”的數(shù)字方式傳輸,大大提高了系統(tǒng) 的抗干擾性。其引腳分布如圖3所示DSIKB2H圖3 DS18B2C引腳圖(1)引腳功能如下:NC(1、2、6、7、8腳):空引腳,懸空不使用。VDD(3腳):可選電源腳,電源電壓范圍35.5V。DQ(4腳):數(shù)據(jù)輸入/輸出腳,漏極開路,常態(tài)下高電平。DS18B20測溫原理DS18B2的測溫原理如圖4所示,圖中低溫度系數(shù)晶振的振蕩頻率受溫度影響 很小,用于產(chǎn)生固定頻率的脈沖信號送給計數(shù)器 1。高溫度系數(shù)晶振隨溫度變化 其振蕩率明顯改變,所產(chǎn)生的信號作為計數(shù)器2的脈沖輸入。計數(shù)器1和溫度寄存

26、器被預(yù)置在-55 C所對應(yīng)的一個基數(shù)值。計數(shù)器1對低溫度系數(shù)晶振產(chǎn)生的脈沖信 號進(jìn)行減法計數(shù),當(dāng)計數(shù)器1的預(yù)置值減到0時,溫度寄存器的值將加1,計數(shù)器1 的預(yù)置將重新被裝入,計數(shù)器1重新開始對低溫度系數(shù)晶振產(chǎn)生的脈沖信號進(jìn)行 計數(shù),如此循環(huán)直到計數(shù)器2計數(shù)到0時,停止溫度寄存器值的累加,此時溫度 寄存器中的數(shù)值即為所測溫度。斜率累加器用于補(bǔ)償和修正測溫過程中的非線性,其輸出用于修正計數(shù)器1的預(yù)置值。DS18B2在正常使用時的測溫分辨率為0.5 C,如果要更高的精度,則在對DS18B2測溫原理進(jìn)行詳細(xì)分析的基礎(chǔ)上, 采 取直接讀取DS18B2內(nèi)部暫存寄存器的方法,將 DS18B2的測溫分辨率提高

27、到0.1 0.01C。圖4測溫原理圖DS18B20與單片機(jī)接口電路P1.3 口和DSI8B20的引腳DQS接,作為單一數(shù)據(jù)線。U2即為溫度傳感芯片 DS18B20,本設(shè)計雖然只使用了一片DSI8B20,但由于不存在遠(yuǎn)程溫度測量的考慮 所以為了簡單起見,采用外部供電的方式,如圖2.6所示。測溫電纜采用屏蔽4芯雙 絞線,其中一對線接地線與信號線,另一對接VC(和地線,屏蔽層在電源源端單點(diǎn) 接地。+5V1RST(RXD)P3 0VCCP1 723(TXD)P3.1P1.64XTAL2P1 55XTAL1P1.4(INT0)P3.2P1.3(INT1)P3.3P1.28仃 0)P3.4P1.1(AIN

28、1)9仃 1)P3.5P1.0(AIN0)10GNDP3.7U120191817161514131211AT89C2051R154.7KU2-NCNC.NCNC-VDDNC-DQGND1234DS18B208765圖5 DS18B2C與單片機(jī)接口電路3、鍵盤顯示電路LED控制器的連接有并行和串行方式。由于串行方式占用較少接口,因此 得到廣泛應(yīng)用。顯示電路中選用MAX721作為LEE3區(qū)動芯片。MAX721是一個高集 成化的串行輸入/輸出的共陰極LE耶動顯示器。每片可驅(qū)動8位7段加小數(shù)點(diǎn)的共 陰極數(shù)碼管。片內(nèi)包括BCD?碼器、多路掃描控制器、字和位驅(qū)動器和 83 8靜態(tài) RAM外部只需要一個電阻

29、設(shè)置所有LEE顯示器字段電流。MAX721和控制器只需 要三根導(dǎo)線連接,每位顯示數(shù)字有一個地址由控制器寫入。 允許使用者選擇每位 是BC譯碼或不譯碼。使用者還可以選擇停機(jī)模式、數(shù)字亮度控制、從18位選擇掃描位數(shù)和對所有LED顯示器的測試模式。(1)引腳功能MAX7219是24引腳芯片,它的引腳排列如圖2.7所示。各引腳功能如下:1)DIN(1腳):串行數(shù)據(jù)輸入端,當(dāng)CLI為上升沿時數(shù)據(jù)被載入16位內(nèi)部移位寄存 器。2)CLK (13腳):串行時鐘脈沖輸入端,最大工作頻率可達(dá) 10MHz3)LOAD (12腳):片選端,當(dāng)LOA為低電平時,芯片接收來自DIN的數(shù)據(jù),接收 完畢,LOA回到高電平,

30、接收的數(shù)據(jù)將被鎖定。4) DIGODIG7(2、3、5、6、7、8、10、11腳):吸收顯示器共陰極電流的位驅(qū)動線,最大值可達(dá)500mADIMniG 4.M.EUG厶DIG 3巨巨巨r(nóng)zlzrvEELI叵疋叵1 CDIG*E ICIG.:叫刃zlnl可回in訶IniarJ圖6 MAX721引腳圖5)SEGASEGG SEGDR14、15、16、17、20、21、22、23腳):驅(qū)動顯示器 7 段及小數(shù)點(diǎn)的輸出電流,一般為40mA可編程調(diào)整。6)ISET (18腳):硬件亮度調(diào)節(jié)端。7)DOUT (24腳):串行數(shù)據(jù)輸出端;V+,正電源。8)GND (9腳):接地。MAX7219與單片機(jī)和LED

31、及鍵盤的接口電路1)MAX72191 勺3個輸入端DIN、CLK和LOAD!單片機(jī)的三個I/O 口連接,DIG0DIG7 分別與八個共陰極LED勺公共端連接,SEGSEGG SEGD分別與每個LEDt段動和小數(shù)點(diǎn)驅(qū)動端相連。電路圖如圖7所示。2)鍵盤功能介紹采用獨(dú)立式按鍵設(shè)計,如圖上圖所示。由于只有四個按鍵,因此按鍵接口電路的設(shè)計比較簡單,單片機(jī)P1.4P1.7端口設(shè)定為輸入狀態(tài),平時通過電阻上 拉到Vcc,按鍵按下時,對應(yīng)的端口的電平被拉到低電平。這樣就可以通過查詢 P1的高4位來判斷有門有按鍵按下按鍵各接一根輸入線,一根輸入線的按鍵工作 狀態(tài)不會影響其他輸入線上的工作狀態(tài)。通過讀I/O 口

32、,判斷各I/O 口的電平狀態(tài), 即可識別出按下的按鍵。4個按鍵定如下:A、P14S1功能鍵,按此鍵則開始鍵盤控制。B、P1.5:S2加,按此鍵則溫度設(shè)定加1度。C、P1.6:S3減,按此鍵則溫度設(shè)定減1度。D P1.7:S4發(fā)送,按此鍵將傳感器的溫度傳送到上位機(jī)5e4737DS0DPY A a Aa b c d e f g dpDp y Amber-CA.RSTVCC(RXD)P3.0P1.7(TXD)P3.1P1.6XTAL2P1.5XTAL1P1.4(INT0)P3.2P1.3(INT1 )P3.3P1.2(T0)P3.4P1.1(AIN1 )(T1)P3.5P1.0(AIN0)GNDP3

33、.7U134568139121 011AT89 C2 051站5a10DS7DPY a a A g +5V1r55.1 Kabc f deeg dpR55.1 KR55.1 KR55.1 KDpy Amber-CA20S41918S317S216.S115U214+5V)IGOD G1 1 DIG2 DIG3 DIG4 DIG5DIG6DIG7112132r6730582 4.1DINV+LOADCLKISETDIG0SEG ADIG1SEG BDIGIDIG2SEG BSEG CDIG3SEG DDIG4SEG EDIG5SEG FDIG6SEG GDIG7DOUTSEG DPGNDGNDM

34、AX7 219 CNGR1圖7 MAX7219與單片機(jī)和LED及鍵盤的接口電路1 814 a16 b20 c23 d21 e15 f17 g22 DP* 9.5 K4、驅(qū)動控制電路 (1)熱電制冷介紹熱電制冷原理:半導(dǎo)體熱電偶由N型半導(dǎo)體和P型半導(dǎo)體組成。當(dāng)電流的極性如圖8 所示時,電子從電源負(fù)極出發(fā),經(jīng)連接片、P型半導(dǎo)體、連接片、N型半導(dǎo)體,最后回到電源正極。N型材料有多余的電子,有負(fù)溫差電勢。P型材料電子不足,有溫差電勢;當(dāng)電子從P型穿過結(jié)點(diǎn)至N型時,其能量必然增加,而且增加的能量相當(dāng) 于結(jié)點(diǎn)所消耗的能量。這一點(diǎn)可用溫差降低來證明。相反,當(dāng)電子從N型流至P型材料時,結(jié)點(diǎn)的溫度就會升高。直接

35、接觸的熱電偶電路在實(shí)際的引用中不可用, 所以用圖8的連接方式來代替,實(shí)驗證明,在溫差電路中引入銅連接片和導(dǎo)線,不 會改變電路的特性。簡單地說當(dāng)一塊 N型半導(dǎo)體材料和一塊P型半導(dǎo)體材料聯(lián)結(jié)成 電偶對時,在這個電路中接通直流電流后,就能產(chǎn)生能量的轉(zhuǎn)移,電流由N型元件流向P型元件的接頭吸收能量,成為冷端;由P型元件流向N型元件的接頭釋放熱量, 成為熱端。吸收和放熱的大小是通過電流的大小以及半導(dǎo)體材料N、P的元件對數(shù)來決定P圖8半導(dǎo)體制冷原理圖驅(qū)動控制電路光耦合雙向可控硅驅(qū)動器是一種單片機(jī)輸出與雙向可控硅之間較理想的接口器件,它由入和輸出兩部分組成,輸入部分為砷化傢發(fā)光二極管,該二極管在5m/V 15

36、mA正向電流作用下發(fā)出足夠強(qiáng)度的紅外光,觸發(fā)輸出部分。連接電路如圖 9所 示。輸出部分為硅光敏雙向可控硅,在紅外線作用下可雙向?qū)?。光電耦合器是以光為媒介傳輸電信號的一種“電-光-電”轉(zhuǎn)換器件。它由發(fā)光源和受光器兩部分組成。把發(fā)光源和受光器組裝在同一殼體內(nèi),彼此間用透明 絕緣體隔離。發(fā)光源的引腳為輸入部分,受光器的引腳為輸出端,常見的發(fā)光源 為發(fā)光二極管,受光器為光敏二極管、光敏三極管等。在光電耦合器輸入端加電信號使發(fā)光源發(fā)光,光的強(qiáng)度取決于激勵電流的大 小,此光照射到封裝在一起的受光器上后,因光電效應(yīng)而產(chǎn)生了光電流,由受光 器輸出端引出,這樣就實(shí)現(xiàn)了“電-光-電”轉(zhuǎn)換。在光電耦合器的內(nèi)部,由

37、于發(fā) 光管和受光器之間的耦合電容很小,使用共模輸入電壓通過極間耦合電容對輸出 電流的影響很小,因而共模抑制比很高。在發(fā)光二極管上提供一個偏置電流,再把信號電壓通過電阻耦合到發(fā)光二極 管上,這樣光電晶體管接收到的是在偏置電流上增、減變化的光信號,其輸出電 流將隨輸入的信號電壓作線性變化。光電耦合器也可工作在開關(guān)狀態(tài),傳輸脈沖 信號。在傳輸脈沖信號是,輸入信號和輸出信號之間存在一定的延時,不同結(jié)構(gòu) 的光電耦合器輸入、輸出延時時間相差很大+5VR10R910kU9Opto TRIAC360R11330制冷系統(tǒng)R123.9kV1220VQ1J-C110.11uFV1220V圖9加熱降溫驅(qū)動控制電路5、

38、看門狗和上位機(jī)通信電路 (1)串口通信功能實(shí)現(xiàn)在實(shí)際的工作中,計算機(jī)的 CPU與外部設(shè)備之間常常要進(jìn)行信息交換,一臺 計算機(jī)與其他計算機(jī)之間也往往要交換信息,所有這些信息交換均可稱為通信。 串行通信是指:數(shù)據(jù)是一位一位按順序傳送的通信方式。它的突出優(yōu)點(diǎn)是只需一對傳輸線 (利用電話線就可以作為傳輸線 ) ,這樣就大大降低了成本,特別適用與遠(yuǎn) 距離通信 ; 其缺點(diǎn)是傳送速度低。MAX232與單片機(jī)接口電路設(shè)計圖10為MAX23與單片機(jī)接口電路;通過它可以把單片機(jī)和計算機(jī)連接起來, 實(shí)現(xiàn)遠(yuǎn)程通訊功能。(3) 看門狗與電源監(jiān)控芯片介紹 由于工業(yè)現(xiàn)場對控制系統(tǒng)可能造成很強(qiáng)的干擾,為保證控制器在任何干擾條

39、件下 都能正常工作,就必須對單片機(jī)的運(yùn)行進(jìn)行監(jiān)控,避免死機(jī)、程序跑飛或進(jìn)入死 循環(huán)。采用看門狗電路則可以大大提高整個系統(tǒng)的抗干擾能力態(tài)。本系統(tǒng)選用MAX813L該芯片能夠監(jiān)控電源電壓、電池故障和微控制器的工作狀態(tài)。MAX813引腳功能如下:1)MR(1腳):手動復(fù)位輸入,低電平有效。2)PRI(4腳)、PFO(5卻):分別為電源故障輸入和電源故障輸出。3)WDI(6腳)、WDO(腳):分別為看門狗輸入和看門狗輸出。4)RESET(7腳):復(fù)位輸出。MAX813I芯片主要特點(diǎn):1)復(fù)位輸出:系統(tǒng)上電、掉電以及供電電壓降低時,第 7 腳產(chǎn)生復(fù)位脈沖,復(fù)位 脈沖寬度的典型值為200ms高電平有效,復(fù)

40、位門限值為 4.65V。2)看門狗電路輸出:如果在1.6s內(nèi)沒有觸發(fā)該電路,則第8腳輸出一個低電平信號。3)手動復(fù)位輸入:低電平有效,即第 1腳輸入一個低電平,則地 7腳產(chǎn)生復(fù)位輸出。4)第4腳輸入電壓為1.25V時,第5腳輸出一個低電平信號。MAX813L與單片機(jī)的連接MAX813的典型應(yīng)用電路如圖10所示,在軟件設(shè)計中,P3.7不斷的輸出脈沖信 號。如果因某種原因進(jìn)入死循環(huán),則P3.7無脈沖輸出,于是1.6s后在MAX813的第 8腳輸出低電平。該低電平加到1腳,使MAX813產(chǎn)生復(fù)位輸出,使單片機(jī)有效復(fù)位, 擺脫死循環(huán)。另外,當(dāng)電源電壓低于限制值 4.65V時,MAX813也會產(chǎn)生復(fù)位輸

41、出, 使單片機(jī)處于復(fù)位狀態(tài),不執(zhí)行任何指令,知道電壓電壓恢復(fù)正常,以有效防止 因電源電壓較低使單片機(jī)產(chǎn)生錯誤的動作。U4VCC59o483RXD02TXD601+5VJ10OPC DB9C40.01 615TXD14RXD13V+V-GNDT1OUTT2OUTR1INR2INMAX232C1 +C1-C2+C2-T1INT2INR1OUTR20UT6、電源電路J2 12V1C5+ 10uF5+C610uFVCCPF1MrWDIWDoPF0GNDRESETU6241685RESET3MAX813L圖10 MAX23與單片機(jī)接口電路5RSTVCC(RXD)P3.0P1.7(TXD)P3.1P1.6

42、XTAL2P1.5XTAL1P1.4(iNT0)P3.2P1.3(INT1)P3.3P1.2仃0)P3.4 P1.1(AIN1)仃 1)P3.5 P1.0(AIN0)GNDP3.7U11219184171615148139121011AT89C205111 TXD TXD1012 RXD RXD 3+5V201 2圖11電源電路電源電路雖然簡單,但需要功能可靠,要有 CBEfe容和高品質(zhì)的ELN/電容做退藕,設(shè)計所用的電源都是直流電源 +5V,所用采用三端集成穩(wěn)壓器7805,可以方 便的實(shí)現(xiàn)此功能,電路如圖11所示7、PID控制算法(1) PID的數(shù)學(xué)模型PID控制是一種比較成熟的控制理論,它

43、通過比例、積分、微分三部分的合理 組合可以用比較簡單的方法獲得令人滿意的控制效果。PID的數(shù)學(xué)模型如圖12表示:圖12 PID數(shù)學(xué)模型給定值R(t)與實(shí)際值丫(t)構(gòu)成控制誤差:E( t)=R (t)-Y( t)式2-1PID控制器根據(jù)E(t)將誤差的比例(P)、積分(I)、和微分(D)通過線性組合構(gòu) 成控制量,對受控對象進(jìn)行控制,其控制規(guī)律如式2所示:U(t)=K Pe(t)+ - t E(t)dt Td式 2-2T0dtU(t)控制器輸出函數(shù);E(t)控制器誤差函數(shù);匕一一比例系數(shù);Ti積分時間常數(shù);Td微分時間常數(shù)。一個最簡單的控制器可以只有比例部分,它能夠產(chǎn)生與輸入信號成比例的輸 出信

44、號,所以誤差一旦產(chǎn)生,控制器立即就有控制作用,使被控制量朝著減小誤 差的方向變化,控制作用的強(qiáng)弱取決于比例系數(shù) 心 比例控制的缺點(diǎn)是不能在設(shè)置 點(diǎn)和反饋點(diǎn)之間產(chǎn)生零誤差(靜差),為了產(chǎn)生有限的輸出信號,必須保持這種靜 差。加大K可以減小靜差,但是K過大會導(dǎo)致動態(tài)性能變壞,甚至?xí)归]環(huán)系統(tǒng)不 穩(wěn)定。為了消除這種靜差,可以引入積分控制環(huán)節(jié),積分環(huán)節(jié)能對誤差進(jìn)行記憶并 積分,即使只存在很小的偏差,也可以將其積分后作用于操作部分,有利于消除 靜差。但是積分作用具有滯后特性,它總是滯后于偏差的存在,這樣會使系統(tǒng)易 于振蕩,結(jié)果往往超調(diào),使被控變量波動很大。積分控制常用于補(bǔ)償高精度的控 制系統(tǒng)。微分控制能

45、對誤差進(jìn)行微分,敏感出誤差的變化趨勢,將預(yù)期的動作作用于 操作部分,增大微分控制作用可以加快系統(tǒng)的響應(yīng),使超調(diào)量減小,增加系統(tǒng)的 穩(wěn)定性。缺點(diǎn)是微分控制對干擾同樣敏感,使系統(tǒng)抑制干擾的能力降低。微分控 制可用于補(bǔ)償快速變化的控制系統(tǒng)。(2) PID控制規(guī)律的離散化為了用計算機(jī)實(shí)現(xiàn)PID控制,必須將式表示PID控制規(guī)律的連續(xù)形式變成離散 形式,才能通過編程實(shí)現(xiàn)。若設(shè)溫度采樣周期為T,第n次采樣得到的輸入偏差為en, 輸出為U。微分用差分代替de=9電1式2-3dtTtn積分用求和代替.e(t)dt八ekT式2-4k=0這樣PID控制器控制算法的離散形式改寫為Un 二 Kpq , QTd “式2-

46、5Ti k=oT這種算法的缺點(diǎn)是,由于是全量輸出,所以每次輸出均與過去的狀態(tài)有關(guān),計算時要對E(n)進(jìn)行累加,所以計算機(jī)工作量大。而且,因為計算機(jī)輸出的U(n)對應(yīng)的是執(zhí)行機(jī)構(gòu)的實(shí)際位置,如果計算機(jī)出現(xiàn)故障,u(n)的大幅度變化會引起執(zhí)行機(jī)構(gòu)位置的大幅度變化,這種情況往往是生產(chǎn)實(shí)踐中不允許的,在某些場合, 可能造成重大的生產(chǎn)事故,因此產(chǎn)生了增量式 PID控制的控制算法。所謂增量式PID控制算法是指數(shù)字控制器的輸出只是控制量的增量 U(n)。當(dāng)執(zhí) 行機(jī)構(gòu)需要的是控制量的增量時,可由式導(dǎo)出提供增量的 PID控制算法。根據(jù)遞推 規(guī)律得:n 4U(n- 1) = KPe(n-1) e(j) Kde(n

47、 - 1)-e(n-2)式2-6j=0用式2-5減去式2-6可得:式2-7T 人Un -Un二 Kpe(n) -e(n -1) -en-2enenTiT改寫成:Un =UnJ KpE( n) -E(n -1) KE( n) KE( n) -2E( n -1) E(n -2)=U( n1) PP P FD式 2-8事實(shí)證明,對于PID這樣簡單的控制器,能夠適用于廣泛的工業(yè)和民用對象, 并以其很高的性價比在市場中占主導(dǎo)地分反映了 PID控制,但在工業(yè)控制過程中經(jīng) 常會碰到大滯位,充后、時變的、非線性的復(fù)雜系統(tǒng),其中有的是非線性系統(tǒng);有的帶有延時和隨機(jī)干擾;有的無法獲得較準(zhǔn)確的數(shù)學(xué)模型或者模型非常粗

48、燥。對于 以上這些系統(tǒng),如果采用常規(guī)的 PID控制器,貝U難以整定PID參數(shù),因此比較難以 達(dá)到預(yù)期的控制效果。同時,在實(shí)際生產(chǎn)現(xiàn)場,由于受到參數(shù)整定方法繁雜的困 擾,常規(guī)PID控制器參數(shù)往往整定不良、性能欠佳,對運(yùn)行工礦的適用性很差。三、軟件設(shè)計1、溫度傳感器DS18B20莫塊軟件設(shè)計DS18B20上電后處于空閑狀態(tài),需要控制器發(fā)能完成溫度轉(zhuǎn)換。DS18B2的單線 通訊功能是分時完成的,具有嚴(yán)格的時序要求,而AT89C205單片機(jī)并不支持單線傳輸,必須采用軟件的方法來模擬單線的協(xié)議時序。DS18B2的操作必須嚴(yán)格按照協(xié)議進(jìn)行。工作協(xié)議流程為:主機(jī)發(fā)復(fù)位脈沖初始化DS18B20 DS18B2發(fā)

49、響應(yīng)脈沖f主機(jī)發(fā)R0操作指令f主機(jī)發(fā)存儲器操作指令f數(shù)據(jù)傳輸。對DS18B2操作時,首先要將它復(fù)位。復(fù)位時,D戯被拉為低電平,時間為480 960us;接著將數(shù)據(jù)線拉為高電平,時間為 1560us;最后DS18B2發(fā)出60240us 的低電平作為應(yīng)答信號,這時主機(jī)才能進(jìn)行讀寫操作。進(jìn)行寫操作時,將數(shù)據(jù)線從高電平拉至低電平,產(chǎn)生寫起始信號。從DQ線的下降沿起計時,在15us到60us這段時間內(nèi)對數(shù)據(jù)線進(jìn)行檢測,如數(shù)據(jù)線為高電平 則寫1;若為低電平,則寫0,完成了一個寫周期。在開始另一個寫周期前,必須 有1us以上的高電平恢復(fù)期。每個寫周期必須要進(jìn)行寫操作時,將數(shù)據(jù)線從高電平 拉至低電平,產(chǎn)生寫起

50、始信號。從 D戯的下降沿起計時,在15us到60us這段時間 內(nèi)對數(shù)據(jù)線進(jìn)行檢測,如數(shù)據(jù)線為高電平則寫1;若為低電平,則寫0,完成了一個寫周期。在開始另一個寫周期前,必須有1us以上的高電平恢復(fù)期。每個寫周期必須要有60us以上的持續(xù)期讀操作時,主機(jī)將數(shù)據(jù)線從高電平拉至低電平 1us以上,再使數(shù)據(jù)線升為高電 平,從而產(chǎn)生讀起始信號。從主機(jī)將數(shù)據(jù)線從高電平拉至低電平起15us至60us,主機(jī)讀取數(shù)據(jù)。每個讀周期最短的持續(xù)期為 60us,周期之間必須有1us以上的高電 平恢復(fù)期。溫度轉(zhuǎn)換讀取溫度數(shù)值程序流程如圖13所示圖13溫度轉(zhuǎn)換讀取溫度數(shù)值程序流程2、顯示程序設(shè)計MAX721 上電時,譯碼方式

51、、亮度調(diào)節(jié)、掃描位數(shù)、待機(jī)開關(guān)和顯示檢測5個控制寄存器全部清零。對于 MAX7219串行數(shù)據(jù)以16位數(shù)據(jù)包的形式從DIN腳串 行輸入,在CLK勺每一個上升沿一位一位的送入芯片內(nèi)部16位移位寄存器,而不管 LOA腳的狀態(tài)如何。LOA腳必須在第16個上升沿出現(xiàn)的同時或之后,但在下一個 CLKt升沿之前變?yōu)楦唠娖?,否則移入的數(shù)據(jù)將丟失。3、鍵盤程序設(shè)計在按鍵的軟件設(shè)計時考慮了按鍵去抖動技術(shù)問題。因為按鍵的無操作抖動很 可能影響單片機(jī)對按鍵的判斷,因此必須考慮去抖動問題。鍵盤的程序流程圖如4、PID控制程序設(shè)計由式2-8可以改寫成:P(K)=P(K-1)+K pE(K)-E(K-1)+K i2 E(K

52、)+KdE(K)-2E(K-1)+E(K-2)=P(K-1)+P p+P+PD式 3-1根據(jù)式3-1編程,相應(yīng)的程序框圖如圖15所示:圖15 PID算法程序流程圖5、主程序流程圖及程序設(shè)計(1)系統(tǒng)主程序流程圖如圖16所示。有了各個功能塊的軟件實(shí)現(xiàn)方法,軟件的總體設(shè)計就變得簡單了,軟件設(shè)計 中一個重要的思想就是采用模塊化設(shè)計,把一個大的任務(wù)分解成若干個小任務(wù), 分別編制實(shí)現(xiàn)這些小任務(wù)的子程序,然后將子程序按照總體要求組裝起來,就可 以實(shí)現(xiàn)這個大任務(wù)了。這種思路對于可重復(fù)使用的子程序顯得尤為優(yōu)越,因為不 僅程序結(jié)構(gòu)清晰,而節(jié)約程序存儲空間。程序開始初始化數(shù)據(jù)調(diào)顯示程序中斷設(shè)置調(diào)比較程序初始化 D

53、B18B20初始化 MAX7219獲取溫度數(shù)值Yir調(diào)PID算法 Outflag=1NNOutflag=-1Y啟動制冷啟動制冷1調(diào)PID算法i是否與設(shè)定值相等NY圖16主程序流程圖(2)主程序設(shè)計# nclude“ AT89C051.h #i nclude vintrin s.h sbit TSOR=P1A7; / 溫度測試端sbit DIN=P1A2; /MAX7219 串行數(shù)據(jù)輸入sbit LOAD=P1A1; /MAX7219 裝載數(shù)據(jù)輸入sbit LCK=P1A0; /MAX7219 時鐘輸入sbit SCL=P3A2; /AT24C32 信號線sbit SDA=P3A3; / AT2

54、4C32 數(shù)據(jù)線sbit OUT0=P3A4; /控制制冷光耦sbit OUT1=P3A5; / 控制加熱光耦sbit weidog=P3A7; / 看門狗*#define uchar unsigned chau;#define uint unsigned uint;uchar temp1,temp2; / 溫度的整數(shù)和小數(shù)void Delay15(); / void Delay60(); / void Delay100ms(); / void Write0TS(); / void Write1TS(); / void ReadTS(); / void ResetTS(); / void Wr

55、iteBTS(); / void ReadBTS(); /延時 15us延時 60us延時 100ms寫DS18B2數(shù)據(jù)位0寫DS18B2數(shù)據(jù)位1讀DS18B2數(shù)據(jù)位 復(fù)位 DS18B20寫1字節(jié)讀1字節(jié)uchar setb,sets,setg,setx;/預(yù)設(shè)定溫度的百、十、個位和小數(shù)位uchar xianb,xians,xiang,xianx;/顯示溫度的百、十、個位和小數(shù)位uchar add_1,add_10;/uchar count; /T0中斷計數(shù)uchar pid; /PID數(shù)值bit outflag;/升溫降溫標(biāo)志位bit alert;/*函數(shù)聲明*/ void Init Int

56、erupt ();/*鍵盤掃描*/ uchar key ();/* MAX7219子程序*/void send(uchar add, dat) /* 溫度傳感器子程序void InitTS(); /初始化 DS18B20void GetTempTS(); /讀取測得的溫度/*比較程序*/Void compare();/*主程序void main()uchar i,j;uchar aa;/ PID 值sp=0x50;TSOR=1;/ 1 wire 總線釋放Delay(500);/ 延時 500msInitInterupt();/ 初始化中斷設(shè)置 setb=Ox00;sets=Ox02;setg=

57、Ox03setx=Ox05; / 預(yù)設(shè)值 23.5 Ccount=0;P1=0xff;InitTS();/ 初始化 DS18B20send(Ox0c;Ox01);/ send(Ox0b;Ox07);/ send(Ox0a;Oxf5);/ send(Ox09;Oxff);/ while(1) GetTempTS();/i=temp1;if(i=0xianb=i/100;設(shè)定停機(jī)方式掃描7位亮度等級譯碼方式讀取測得的溫度i=100)j=i%100;xians=j/10;xiang=j%10 | 0x80;xinx=temp2;send(0x01;xianb);/ send(0x02;xians);/ send(0x03;xiang);/send(0x04;xianx);/顯示測得的溫度send(0x05;setb);/send(0x06;sets);/Send(0x07;setg);/send(0x08;setx);/顯示預(yù)設(shè)定的溫度else alert=1;警告void key(); /調(diào)按鍵掃描void compare(); /調(diào)比較程序if(outflag=1) pid=_a_func(); /調(diào)PID算法for(i=0;i1;i+;else if(outflag=-1) pid=_a_func();for(i=0;i1;

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!