九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

基于單片機(jī)的直流電動(dòng)機(jī)PWM 調(diào)速系統(tǒng)設(shè)計(jì)

上傳人:gui****hi 文檔編號(hào):65746944 上傳時(shí)間:2022-03-25 格式:DOC 頁數(shù):19 大?。?19KB
收藏 版權(quán)申訴 舉報(bào) 下載
基于單片機(jī)的直流電動(dòng)機(jī)PWM 調(diào)速系統(tǒng)設(shè)計(jì)_第1頁
第1頁 / 共19頁
基于單片機(jī)的直流電動(dòng)機(jī)PWM 調(diào)速系統(tǒng)設(shè)計(jì)_第2頁
第2頁 / 共19頁
基于單片機(jī)的直流電動(dòng)機(jī)PWM 調(diào)速系統(tǒng)設(shè)計(jì)_第3頁
第3頁 / 共19頁

下載文檔到電腦,查找使用更方便

16 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《基于單片機(jī)的直流電動(dòng)機(jī)PWM 調(diào)速系統(tǒng)設(shè)計(jì)》由會(huì)員分享,可在線閱讀,更多相關(guān)《基于單片機(jī)的直流電動(dòng)機(jī)PWM 調(diào)速系統(tǒng)設(shè)計(jì)(19頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、本文僅供參考目錄1 引言12 直流PWM 調(diào)速系統(tǒng)的工作原理13 直流電機(jī)PWM 調(diào)速系統(tǒng)的硬件組成24 設(shè)計(jì)方案134.1 主控電路設(shè)計(jì)34.1.1 P89V51RD2概述34.1.2 特性34.1.3 用到的功能44.1.3.1 脈寬調(diào)節(jié)模式44.1.3.2 16位軟件定時(shí)器模式44.1.3.3 定時(shí)器/計(jì)數(shù)器0和144.1.4 電路設(shè)計(jì)54.2 鍵盤接口和顯示電路設(shè)計(jì)54.2.1 ZLG7290模塊簡(jiǎn)介54.2.1.1 特點(diǎn)54.2.1.2 采用24 引腳封裝引腳圖64.2.2 電路設(shè)計(jì)74.3 驅(qū)動(dòng)電路設(shè)計(jì)84.3.1 L298簡(jiǎn)介84.3.2L298N的邏輯功能84.3.3 L298

2、內(nèi)部結(jié)構(gòu)圖794.3.4 電路設(shè)計(jì)104.4 其他電路設(shè)計(jì)104.4.1 單片機(jī)程序下載電路104.4.2 電源電路114.4.3 復(fù)位電路114.5 總體電路圖125 系統(tǒng)調(diào)速程序設(shè)計(jì)145.1 編程軟件145.2 編程模塊145.2.1 中斷程序部分145.2.2 鍵盤部分和顯示部分145.3 程序框圖145.3.1 定時(shí)器中斷程序框圖145.3.2 鍵盤和顯示模塊流程圖155.4 實(shí)現(xiàn)功能166 設(shè)計(jì)方案2166.1 電路設(shè)計(jì)166.2 程序框圖5187 操作說明18基于單片機(jī)的直流電動(dòng)機(jī)PWM 調(diào)速系統(tǒng)設(shè)計(jì)摘 要:本文根據(jù)降壓斬波電路原理,結(jié)合在實(shí)際中的應(yīng)用,從原理設(shè)計(jì)上實(shí)現(xiàn)了直流PW

3、M 調(diào)速系統(tǒng),根據(jù)可行性,論文提出了兩種方案思想,方案1為一個(gè)開環(huán)系統(tǒng),調(diào)節(jié)占空比,進(jìn)而改變U0的大小,即電動(dòng)機(jī)端電壓的大小,于是改變電動(dòng)機(jī)的轉(zhuǎn)速,達(dá)到調(diào)速的目的,通過鍵盤輸入轉(zhuǎn)速給定,查表計(jì)算對(duì)應(yīng)占空比。方案2為一個(gè)閉環(huán)系統(tǒng),控制程序按照PID算法自動(dòng)調(diào)節(jié)占空比,以縮短過度過程,使轉(zhuǎn)速穩(wěn)定。本文給出了單片機(jī)和接口電路的硬件詳細(xì)介紹和相關(guān)電路設(shè)計(jì)和程序框圖設(shè)計(jì)。 關(guān)鍵詞:PWM ,調(diào)速系統(tǒng),占空比,P89V51RD2,L298 1 引言隨著計(jì)算機(jī)進(jìn)入控制領(lǐng)域以及高開關(guān)頻率、全控型電力半導(dǎo)體器件的發(fā)展,脈寬調(diào)制(PWM) 的直流調(diào)速系統(tǒng)在調(diào)速控制中得到越來越普遍的使用。PWM(脈沖寬度調(diào)制)功率

4、放大器具有功耗低,效率高,體積小,價(jià)格低,工作可靠等優(yōu)點(diǎn),并且大大降低了電路的復(fù)雜度,提高了系統(tǒng)的可靠性。因此,直流電動(dòng)機(jī)采用PWM調(diào)速已經(jīng)得到了廣泛的應(yīng)用,在傳統(tǒng)的調(diào)速系統(tǒng)中一般采用硬件作為脈沖發(fā)生器的方式,應(yīng)用的元件較多,同樣會(huì)增加電路的復(fù)雜程度。為此,本文介紹一種靠軟件發(fā)出脈沖信號(hào)來實(shí)現(xiàn)直流電動(dòng)機(jī)調(diào)速控制的方法,本系統(tǒng)具有功率器件體積小,功率大,損耗低,控制靈活簡(jiǎn)單,效率高的特點(diǎn)。2 直流PWM 調(diào)速系統(tǒng)的工作原理PWM 調(diào)速裝置是利用大功率晶體管的開關(guān)特性來調(diào)制固定電壓的直流電源,按一個(gè)固定的頻率來接通和斷開,并根據(jù)需要改變一個(gè)周期內(nèi)接通和斷開時(shí)間的長(zhǎng)短,通過改變直流伺服電動(dòng)機(jī)電樞上電

5、壓的占空比來改變平均電壓的大小,從而控制電動(dòng)機(jī)的轉(zhuǎn)速。占空比越高轉(zhuǎn)速越快,反之亦然。因此,這種裝置又稱為開關(guān)驅(qū)動(dòng)裝置,PWM 控制的示意圖,如圖1 所示。圖1這是一個(gè)直流降壓斬波電路。電壓平均值Ud可用下式表示為Ud=E*ton/(ton+toff)=E*ton/T=E (1),式中ton 開關(guān)每次接通的時(shí)間;T 開關(guān)通斷的時(shí)間周期; 占空比,= ton /T 。由此電路得到的電動(dòng)機(jī)兩端的電壓波形,如圖2 所示。圖2在他勵(lì)直流電動(dòng)機(jī)中,有Ea=Ua-IaRa(2),式中Ua電機(jī)的端電壓,Ua=U-IaR,當(dāng)Ia=0或R=0時(shí),Ua=U。若忽略電阻壓降IaRa,并考慮Ea=Cen,則式(2)變?yōu)?/p>

6、nUa/Ce(3)。由(1),(3)兩式可見,改變開關(guān)接通時(shí)間ton 和開關(guān)周期T 的比例亦即改變脈沖的占空比,電動(dòng)機(jī)兩端的電壓平均值也隨之改變,電動(dòng)機(jī)的轉(zhuǎn)速與端電壓成正比,而端電壓與占空比成比例。因而電動(dòng)機(jī)轉(zhuǎn)速得到了控制。改變占空比有兩種調(diào)制方法:一種是開關(guān)周期恒定,通過改變導(dǎo)通脈沖寬度來改變占空比的方式,即脈沖寬度調(diào)制(Pulse Width Modulation ,縮寫為PWM);另一種方式為導(dǎo)通脈沖寬度恒定,通過改變開關(guān)頻率( f = 1/T ) 來改變占空比,亦即脈沖頻率調(diào)制(Pulse Frequency Modulation ,縮寫為PFM)。1由于PFM 控制是依靠脈沖頻率來改

7、變占空比的,當(dāng)遇到某個(gè)特殊的頻率下的機(jī)械諧振時(shí),常導(dǎo)致系統(tǒng)震動(dòng)和出現(xiàn)嘯叫聲,這一嚴(yán)重的缺點(diǎn)導(dǎo)致PFM 控制在伺服系統(tǒng)中不適用。目前,在直流電動(dòng)機(jī)的控制中,以PWM 控制方式為主。 根據(jù)以上原理,本系統(tǒng)采用鍵盤輸入轉(zhuǎn)速給定,按照PID算法自動(dòng)調(diào)節(jié)占空比,縮短過渡過程、穩(wěn)定速度。本設(shè)計(jì)將占空比為1時(shí)Ud對(duì)應(yīng)轉(zhuǎn)速最大值,這樣調(diào)節(jié)占空比就能實(shí)現(xiàn)轉(zhuǎn)速由最大到最小的調(diào)節(jié)。比如可以將一開機(jī)占空比初值設(shè)置成50%,轉(zhuǎn)速設(shè)置成最高的一半,則可以通過調(diào)節(jié)占空比,使轉(zhuǎn)速可以在設(shè)定范圍內(nèi)調(diào)節(jié)。這個(gè)設(shè)置在編制程序中設(shè)定。3 直流電機(jī)PWM 調(diào)速系統(tǒng)的硬件組成 該直流電動(dòng)機(jī)PWM 調(diào)速系統(tǒng)由上位機(jī)(PC 機(jī))、單片機(jī)P8

8、9V51RD2、功率集成電路芯片L298 和直流電動(dòng)機(jī)組成,如圖3 所示。在整個(gè)PWM 調(diào)速器中,PC 機(jī)作為主控制器,其上運(yùn)行控制主程序,提供用戶界面,并且根據(jù)系統(tǒng)提供的信息將電動(dòng)機(jī)運(yùn)行的指令(速度值)下達(dá)給單片機(jī)。單片機(jī)作為底層控制器,運(yùn)用一定的控制算法完成電動(dòng)機(jī)的速度控制。圖34 設(shè)計(jì)方案1 根據(jù)給定轉(zhuǎn)速,通過查表或者計(jì)算,得到對(duì)應(yīng)的占空比,進(jìn)行改變轉(zhuǎn)速。設(shè)電機(jī)轉(zhuǎn)速n=f(u), u=E,由這兩個(gè)關(guān)系式可以推導(dǎo)出=G(n),當(dāng)從鍵盤輸入轉(zhuǎn)速時(shí)就可以由=G(n)解出對(duì)應(yīng)占空比的值,就可以向單片機(jī)的PWM寄存器中送入256*的值,這樣就從單片機(jī)的PWM模塊中輸出了占空比為的PWM波,電機(jī)的轉(zhuǎn)

9、速為給定值。這種情況是理想的情況,當(dāng)電機(jī)負(fù)載或者電壓E發(fā)生變化,=G(n)就會(huì)發(fā)生變化,這時(shí)通過計(jì)算或者查表得出的占空比就和實(shí)際情況發(fā)生偏差,這時(shí)電機(jī)的轉(zhuǎn)速就會(huì)偏離給定的轉(zhuǎn)速。這種方案控制簡(jiǎn)單,實(shí)現(xiàn)容易,但是只適用于轉(zhuǎn)速控制精度不高和負(fù)載變化不大的情況。4.1 主控電路設(shè)計(jì)采用P89V51RD2單片機(jī)為主控芯片,可以將編好的程序通過計(jì)算機(jī)串口下載到芯片中,而不必通過編程器下載。芯片內(nèi)部含有flash和RAM,無須擴(kuò)展外部存儲(chǔ)器,使用方便。而且含有pwm模塊,適合輸出PWM波,簡(jiǎn)單方便。4.1.1 P89V51RD2概述P89V51RD2是一款80C51微控制器,包含64kB Flash和102

10、4字節(jié)的數(shù)據(jù)RAM。 Flash程序存儲(chǔ)器支持并行和串行在系統(tǒng)編程(ISP)。并行編程方式提供了高速的分組編程(頁編程)方式,可節(jié)省編程花費(fèi)和推向市場(chǎng)的時(shí)間。ISP允許在軟件控制下對(duì)成品中的器件進(jìn)行重復(fù)編程。應(yīng)用固件的產(chǎn)生/更新能力實(shí)現(xiàn)了ISP的大范圍應(yīng)用。2 4.1.2 特性80C51核心處理單元; 5V的工作電壓,操作頻率為040MHz; 64kB的片內(nèi)Flash程序存儲(chǔ)器,具有ISP(在系統(tǒng)編程)和IAP(在應(yīng)用中編程)功能; 通過軟件或ISP選擇支持12時(shí)鐘(默認(rèn))或6時(shí)鐘模式; SPI(串行外圍接口)和增強(qiáng)型UART; PCA(可編程計(jì)數(shù)器陣列),具有PWM和捕獲/比較功能; 4個(gè)8

11、位I/O口,含有3個(gè)高電流P1口(每個(gè)I/O口的電流為16mA); 3個(gè)16位定時(shí)器/計(jì)數(shù)器; 可編程看門狗定時(shí)器(WDT); 8個(gè)中斷源,4個(gè)中斷優(yōu)先級(jí); 2個(gè)DPTR寄存器; 低EMI方式(ALE禁能); 兼容TTL和CMOS邏輯電平; 掉電檢測(cè); 低功耗模式 掉電模式,外部中斷喚醒;空閑模式; 34.1.3 用到的功能4.1.3.1 脈寬調(diào)節(jié)模式所有PCA模塊都可用作PWM輸出,所以把PCA模塊設(shè)置成PWM輸出模塊。輸出頻率取決于定時(shí)器的時(shí)鐘源。 圖42由于所有模塊共用僅有的PCA定時(shí)器,所以他們的輸出頻率相同。各個(gè)模塊的輸出占空比是獨(dú)立變化的,與使用的捕獲寄存器CCAPnL有關(guān),脈寬的

12、數(shù)值保存在PCA定時(shí)器中,和CCPA在8位比較器進(jìn)行比較,當(dāng)PCA CL SFR的值小于CCAPnL SFR時(shí),輸出為低,當(dāng)PCA CL SFR的值等于或大于時(shí),輸出為高。即產(chǎn)生了脈寬可調(diào)的PWM波,當(dāng)CL的值由FF變?yōu)?0溢出時(shí),的內(nèi)容裝載到CCAPnL中,這樣就可以實(shí)現(xiàn)無干擾地更新PWM。另外,要使能PWM模式,模塊CCAPMn寄存器的PWM和ECOM位必須置位。4.1.3.2 16位軟件定時(shí)器模式通過置位CCAPMn寄存器的ECOM和MAT位,可使PCA模塊用作軟件定時(shí)器,PCA定時(shí)器的值與模塊捕獲器的值相比較,當(dāng)兩者相等時(shí),如果位CCFn(CCON SFR)和位ECCFn(CCAPMn

13、 SFR)都置位,將產(chǎn)生中斷。4.1.3.3 定時(shí)器/計(jì)數(shù)器0和1 2個(gè)16位定時(shí)器/計(jì)數(shù)器:定時(shí)器0和定時(shí)器1。兩者可配置成定時(shí)器或事件計(jì)數(shù)器。用做定時(shí)器功能時(shí),每經(jīng)過一個(gè)機(jī)器周期,寄存器加1。因此,可以將一個(gè)機(jī)器周期看做計(jì)數(shù)周期。由于一個(gè)機(jī)器周期由6個(gè)振蕩周期組成,所以,定時(shí)器的計(jì)數(shù)率為1/6振蕩頻率。4.1.4 電路設(shè)計(jì)圖5P1.5為 PWM輸出口,M0,M1輸出0,1電平,設(shè)置當(dāng)都為0或者1時(shí),電動(dòng)機(jī)停止;當(dāng)為1,0時(shí)正轉(zhuǎn),0,1時(shí)反轉(zhuǎn),程序存儲(chǔ)在Flash程序存儲(chǔ)器中4.2 鍵盤接口和顯示電路設(shè)計(jì)采用ZLG7290芯片,可以通過鍵盤調(diào)節(jié)脈寬,進(jìn)而調(diào)節(jié)占空比,改變電動(dòng)機(jī)轉(zhuǎn)速。4.2.1

14、 ZLG7290模塊簡(jiǎn)介4.2.1.1 特點(diǎn)1 I2C 串行接口提供鍵盤中斷信號(hào)方便與處理器接口2 可驅(qū)動(dòng)8 位共陰數(shù)碼管或64 只獨(dú)立LED 和64 個(gè)按鍵3 可控掃描位數(shù)可控任一數(shù)碼管閃爍4 提供數(shù)據(jù)譯碼和循環(huán)移位段尋址等控制5 8 個(gè)功能鍵可檢測(cè)任一鍵的連擊次數(shù)6 無需外接元件即直接驅(qū)LED 可擴(kuò)展驅(qū)動(dòng)電流和驅(qū)動(dòng)電壓7 提供工業(yè)級(jí)器件多種封裝形式PDIP24 SO24。44.2.1.2 采用24 引腳封裝引腳圖如圖6所示圖644.2.2 電路設(shè)計(jì)圖7鍵盤接口模塊電路(圖7中上半部分)圖中的14個(gè)鍵為0-13號(hào),為數(shù)字輸入控制電動(dòng)機(jī)的轉(zhuǎn)速和正反轉(zhuǎn)及停止。顯示模塊電路(圖7中下半部分)采用8

15、個(gè)共陰極數(shù)碼管,采用比較省電的動(dòng)態(tài)顯示方式。6圖8圖8為圖7的左下角部分的放大,I2C接口的5接正,3接負(fù),4接單片機(jī)P89V51RD2的p1.6口,2接p1.7,1接p3.2(圖中未畫),用來3位顯示0-256的數(shù)字。4.3 驅(qū)動(dòng)電路設(shè)計(jì)采用L298驅(qū)動(dòng)器,接受單片機(jī)的輸入信號(hào)并放大,驅(qū)動(dòng)電動(dòng)機(jī)運(yùn)轉(zhuǎn)。4.3.1 L298簡(jiǎn)介L(zhǎng)298N 是SGS 公司的產(chǎn)品, 內(nèi)部包含4 通道邏輯驅(qū)動(dòng)電路, 是一種二相和四相電機(jī)的專用驅(qū)動(dòng)器,即內(nèi)含二個(gè)H 橋的高電壓大電流雙全橋式驅(qū)動(dòng)器,接收標(biāo)準(zhǔn)TTL 邏輯電平信號(hào), 可驅(qū)動(dòng)46V、2A 以下的電機(jī)。其內(nèi)部具有2 個(gè)完全相同的PWM 功率放大回路, L298

16、可驅(qū)動(dòng)2 個(gè)電機(jī), OUT1、OUT2 和OUT3、OUT4 之間分別接2 個(gè)電動(dòng)機(jī)。5、7、10、12 腳接輸入控制電平, 控制電機(jī)的正反轉(zhuǎn), ENA, ENB 接控制使能端, 控制電機(jī)的停轉(zhuǎn)。L298 的邏輯功能如表1所示。4.3.2 L298N的邏輯功能表1 L298N的邏輯功能ENA(B)IN1(IN3)IN2(IN4)電機(jī)運(yùn)行情況HHL正轉(zhuǎn)HLH反轉(zhuǎn)H同IN2(IN4)同IN1(IN3)快速停止LXX停止4.3.3 L298內(nèi)部結(jié)構(gòu)圖7圖94.3.4 電路設(shè)計(jì)圖10圖10中,單片機(jī)發(fā)出的PWM信號(hào)經(jīng)6輸入,調(diào)節(jié)電動(dòng)機(jī)轉(zhuǎn)速;單片機(jī)發(fā)出的0,1電平由M0和M1口發(fā)出,經(jīng)5和7輸入,控制電

17、動(dòng)機(jī)的正反轉(zhuǎn)和停止。根據(jù)表1,可改為表2,如下所示表2輸入功能PWM為高M(jìn)0=1;M1=0正轉(zhuǎn)M0=0;M1=1反轉(zhuǎn)M0=M1快速停止PWM為低M0,M1無論為何種狀態(tài)不轉(zhuǎn)4.4 其他電路設(shè)計(jì)4.4.1 單片機(jī)程序下載電路圖11 單片機(jī)程序下載電路 通過MAX232ACPE芯片把計(jì)算機(jī)發(fā)送的232電平轉(zhuǎn)換為TTL電平4.4.2 電源電路 圖12 電源電路MC7805把10v轉(zhuǎn)換成5v供單片機(jī)使用,給單片機(jī)供電4.4.3 復(fù)位電路上電復(fù)位器件的復(fù)位輸入是RST腳。要使器件復(fù)位,振蕩器運(yùn)行穩(wěn)定后,RST腳上的邏輯高電平至少要保持2個(gè)機(jī)器周期,(24個(gè)時(shí)鐘周期),初始上電后,端口管腳可能是任何一種狀

18、態(tài),直到振蕩器穩(wěn)定起振和內(nèi)部復(fù)位邏輯將所有管腳微弱拉高。無有效復(fù)位的器件上電會(huì)使MCU從一個(gè)不確定的地址開始執(zhí)行程序。這樣未定義的狀態(tài)會(huì)破壞flash的代碼。器件通電后,RST腳上的高電平除了要保持有效上電復(fù)位所需的2個(gè)機(jī)器周期外,還要保持一段時(shí)間,以便振蕩器能穩(wěn)定起振(低頻振蕩器需要幾個(gè)毫秒)。所以給出一個(gè)延長(zhǎng)RST信號(hào)的方法:將RST腳通過一個(gè)10uF的電容連接到VDD和通過一個(gè)8.2k的電阻連接到Vss,即搭建一個(gè)RC電路,如圖13所示。如果使用了RC電路,要確保VDD的上升時(shí)間小于1ms,振蕩器的起振時(shí)間小于10ms。2圖13 上電復(fù)位電路原理圖圖14 單片機(jī)復(fù)位電路本電路圖可以實(shí)現(xiàn)手

19、動(dòng)復(fù)位4.5 總體電路圖圖155 系統(tǒng)調(diào)速程序設(shè)計(jì)5.1 編程軟件采用Keil uVision3,這是KEIL公司的單片機(jī)編譯、仿真、調(diào)試的集成環(huán)境5.2 編程模塊5.2.1 中斷程序部分通過鍵盤改變PCA里的值,設(shè)置每隔一定時(shí)間向PCA里送值,一般每隔20ms向PCA送值一次,如果定時(shí)器不重裝,送往PCA里的數(shù)值就會(huì)送的太快或者太慢,所以必須讓定時(shí)器每隔一定時(shí)間重裝。這里選擇定時(shí)器0。85.2.2 鍵盤部分和顯示部分鍵值寄存器Key 地址01H 復(fù)位值00H Key 表示被壓按鍵的鍵值當(dāng)Key=0 時(shí)表示沒有鍵被壓按。閃爍控制寄存器FlashOnOff 地址0CH 復(fù)位值0111B/0111

20、B 高4 位表示閃爍時(shí)亮的時(shí)間。低4 位表示閃爍時(shí)滅的時(shí)間改變其值同時(shí)也改變了閃爍頻率也能改變亮和滅的占空比。FlashOnOff的1 個(gè)單位相當(dāng)于150 250ms 亮和滅的時(shí)間范圍為1 16 0000B 相當(dāng)1 個(gè)時(shí)間單位所有象素的閃爍頻率和占空比相同。4設(shè)置Key=0到13這14個(gè)值,0到9為數(shù)字鍵,用于輸入轉(zhuǎn)速,10,11,12分別用于控制正轉(zhuǎn),反轉(zhuǎn)和停止,按鍵13為確認(rèn)鍵。 5.3 程序框圖5.3.1 定時(shí)器中斷程序框圖圖16 185.3.2 鍵盤和顯示模塊流程圖圖17 鍵盤和顯示模塊流程圖5.4 實(shí)現(xiàn)功能通過鍵盤調(diào)節(jié)轉(zhuǎn)速,占空比0-100%可調(diào);顯示轉(zhuǎn)速;調(diào)節(jié)電動(dòng)機(jī)正轉(zhuǎn)和反轉(zhuǎn)。6

21、設(shè)計(jì)方案2這是個(gè)閉環(huán)系統(tǒng)如下圖,給定速度,通過反饋檢測(cè)速度,比較調(diào)節(jié),自動(dòng)根據(jù)PID算法改變占空比使輸出在給定的速度值上穩(wěn)定,所以對(duì)電路和程序要做一定的改動(dòng)。 圖18 電機(jī)轉(zhuǎn)速閉環(huán)控制圖6.1 電路設(shè)計(jì)為了實(shí)現(xiàn)對(duì)轉(zhuǎn)速的檢測(cè),本文設(shè)計(jì)了一個(gè)測(cè)速裝置如圖19,在電機(jī)的軸上加一個(gè)圓盤,上面根據(jù)一定的精度要求刻有數(shù)量一定的縫,當(dāng)光線通過縫隙時(shí),光電開關(guān)接受到光,輸出低電平;當(dāng)光線被遮擋時(shí),光電開關(guān)輸出高電平。當(dāng)盤子隨著電機(jī)轉(zhuǎn)動(dòng)時(shí),光電開光交替導(dǎo)通與截止,光電開關(guān)的開關(guān)頻率除以縫的數(shù)目即是電機(jī)的轉(zhuǎn)速。在圖的右下角加了一個(gè)光電開關(guān)電路,通過程序設(shè)置可達(dá)到控制轉(zhuǎn)速的作用,當(dāng)轉(zhuǎn)速小于設(shè)定值時(shí),則通過程序自動(dòng)把

22、占空比增加,使轉(zhuǎn)速增加,直到達(dá)到設(shè)定值;當(dāng)轉(zhuǎn)速大于設(shè)定值時(shí),則通過程序自動(dòng)把占空比減小,使轉(zhuǎn)速減小,直到達(dá)到設(shè)定值。圖19 電機(jī)轉(zhuǎn)速測(cè)速盤電路設(shè)計(jì)如圖20所示:圖20其中放大的光電開關(guān)電路部分如圖21圖21可以看出,左邊方框就是光電開關(guān),右邊是施密特觸發(fā)器,進(jìn)行波形整形,把波形變規(guī)則。6.2 程序框圖5 圖20設(shè)計(jì)思想為通過給定轉(zhuǎn)速,檢測(cè)轉(zhuǎn)速,按照PID算法自動(dòng)調(diào)節(jié)占空比,使轉(zhuǎn)速穩(wěn)定,如果轉(zhuǎn)速達(dá)到給定值,則顯示出來,如果沒有,則繼續(xù)按照PID算法調(diào)節(jié)占空比,直到轉(zhuǎn)速達(dá)到給定值為止。57 操作說明把計(jì)算機(jī)的串口通過串口線和單片機(jī)的串口連在一起,上電,把調(diào)速程序下載到單片機(jī)中,然后復(fù)位單片機(jī),運(yùn)行程序,按照方案1操作,按鍵盤的10,11,12號(hào)鍵控制電機(jī)的正轉(zhuǎn),反轉(zhuǎn)和停止,然后按0到9輸入轉(zhuǎn)速值,控制占空比的變化來調(diào)節(jié)轉(zhuǎn)速。按照方案2,按鍵盤的1號(hào)鍵增加電動(dòng)機(jī)的轉(zhuǎn)速;2號(hào)鍵減小電動(dòng)機(jī)的轉(zhuǎn)速;3號(hào)鍵實(shí)現(xiàn)電動(dòng)機(jī)正轉(zhuǎn),4號(hào)鍵實(shí)現(xiàn)電動(dòng)機(jī)反轉(zhuǎn),按5號(hào)鍵電動(dòng)機(jī)停止。

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!