九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

音樂播放器

上傳人:少*** 文檔編號(hào):67897377 上傳時(shí)間:2022-04-01 格式:DOC 頁數(shù):14 大?。?02.50KB
收藏 版權(quán)申訴 舉報(bào) 下載
音樂播放器_第1頁
第1頁 / 共14頁
音樂播放器_第2頁
第2頁 / 共14頁
音樂播放器_第3頁
第3頁 / 共14頁

下載文檔到電腦,查找使用更方便

16 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《音樂播放器》由會(huì)員分享,可在線閱讀,更多相關(guān)《音樂播放器(14頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。

1、微型計(jì)算機(jī)技術(shù)課程設(shè)計(jì)報(bào)告專 業(yè): 通信工程 班 級(jí): 通信082 姓 名: 學(xué) 號(hào): 指導(dǎo)教師: 時(shí) 間:2010-03-0819通信與電子工程學(xué)院 一、設(shè)計(jì)目的為了進(jìn)一步鞏固學(xué)習(xí)的理論知識(shí),增強(qiáng)學(xué)生對所學(xué)知識(shí)的實(shí)際應(yīng)用能力和運(yùn)用所學(xué)的知識(shí)解決實(shí)際問題的能力,開始為期兩周的課程設(shè)計(jì)。通過設(shè)計(jì)使學(xué)生在鞏固所學(xué)知識(shí)的基礎(chǔ)之上具有初步的單片機(jī)系統(tǒng)設(shè)計(jì)與應(yīng)用能力。1、通過本設(shè)計(jì),使學(xué)生綜合運(yùn)用微型計(jì)算機(jī)技術(shù)、C語言程序設(shè)計(jì)以及數(shù)字電路、模擬電路等課程的內(nèi)容,為以后從事電子產(chǎn)品設(shè)計(jì)、軟件編程、系統(tǒng)控制等工作奠定一定的基礎(chǔ)。2、學(xué)會(huì)使用KEIL C和PROTEUS等軟件,用C語言或匯編語言編寫一個(gè)較完整

2、的實(shí)用程序,并仿真運(yùn)行,保證設(shè)計(jì)的正確性。3、了解單片機(jī)接口應(yīng)用開發(fā)的全過程:分析需求、設(shè)計(jì)原理圖、選用元器件、布線、編程、調(diào)試、撰寫報(bào)告等。二、設(shè)計(jì)內(nèi)容該實(shí)踐訓(xùn)練環(huán)節(jié)要求學(xué)生能夠運(yùn)用所學(xué)知識(shí),在老師的引導(dǎo)下完成課程設(shè)計(jì)題目,達(dá)到相應(yīng)的訓(xùn)練要求。序號(hào)教學(xué)內(nèi)容基本要求備注1數(shù)碼轉(zhuǎn)換并顯示設(shè)計(jì)ASCII、BCD或16進(jìn)制數(shù)轉(zhuǎn)換并在6位LED顯示功能。2鍵盤接口和顯示設(shè)計(jì)設(shè)計(jì)并實(shí)現(xiàn)一44鍵盤的接口,并在兩個(gè)數(shù)碼管上顯示鍵盤所在的行與列。38155或8255擴(kuò)展用8155或8255擴(kuò)展IO實(shí)現(xiàn)16個(gè)LED的跑馬燈,提供多種跑馬燈運(yùn)行模式4多點(diǎn)定時(shí)數(shù)字鐘設(shè)計(jì)實(shí)現(xiàn)一簡易時(shí)鐘的功能,用數(shù)碼管顯示,要求該時(shí)鐘

3、走時(shí)準(zhǔn)確,時(shí)間可以通過鍵盤設(shè)置與校準(zhǔn)。5簡易計(jì)算器設(shè)計(jì)實(shí)現(xiàn)一簡易的加減法計(jì)算器的功能,要求該計(jì)算器能夠進(jìn)行6位無符號(hào)數(shù)的加減計(jì)算。6音樂播放器用單片機(jī)設(shè)計(jì)一音樂播放器可以通過按鍵播放多首音樂7串口通信實(shí)現(xiàn)字符傳送用兩個(gè)單片機(jī)實(shí)現(xiàn)0-F的字符串行傳送8數(shù)字電壓表用A/D采樣實(shí)現(xiàn)數(shù)字電壓表,采用數(shù)碼管或液晶顯示電壓值9波形發(fā)生器用D/A完成鋸齒波輸出,用PROTEUS內(nèi)帶的示波器查看波形10頻率計(jì)數(shù)器用PROTEUS內(nèi)帶的頻率發(fā)生器產(chǎn)生頻率源三、 問題的分析及設(shè)計(jì)思路基于51單片機(jī)設(shè)計(jì)一音樂播放器,可以通過按鍵來播放不同的音樂,而且具有顯示功能,在播放音樂的同時(shí)在LCD上顯示歌曲名稱及演唱者等信息

4、。其大致思路為利用晶振產(chǎn)生一定頻率的高低電平,利用音節(jié)算法將其轉(zhuǎn)化為高低不同的音節(jié),并將其輸出到p3.0接口,通過外接數(shù)字揚(yáng)聲器發(fā)出聲音。此外,單片機(jī)通過74LS373和與門同LCD相連,通過軟件編程實(shí)現(xiàn)歌曲的顯示。四、 方案的提出基于AT89C51 單片機(jī)的音頻播放器音頻播放器系統(tǒng)結(jié)構(gòu)有按鍵電路、顯示電路、晶振電路等組成。系統(tǒng)中單片機(jī)是控制核心, 晶振產(chǎn)生一定頻率的高低電平,利用音節(jié)算法將其轉(zhuǎn)化為高低不同的音節(jié),并將其輸出到p3.0接口,通過外接數(shù)字揚(yáng)聲器發(fā)出聲音。此外,單片機(jī)通過74LS373和與門同LCD相連,通過軟件編程實(shí)現(xiàn)歌曲的顯示。液晶顯示模塊顯示曲目名稱和相應(yīng)的歌手名字。五、電路

5、設(shè)計(jì)及硬件原理圖晶振AT89C51按鍵LCD顯示揚(yáng)聲器AT89C51音樂播放器系統(tǒng)結(jié)構(gòu)圖1、系統(tǒng)控制核心器件選用美國ATMEL 公司的AT89C51單片機(jī), 一個(gè)12MHZ的晶振,兩片CAP電容,液晶模塊選用LM016L,74ls373鎖存器,以及一個(gè)數(shù)字揚(yáng)聲器,導(dǎo)線若干。2、AT89C51的引腳排列如圖所示:首先對于I/O口線做一介紹:P0口8位、漏極開路的雙向I/O口。當(dāng)使用片外存儲(chǔ)器及外擴(kuò)I/O口時(shí),P0口作為低字節(jié)地址/數(shù)據(jù)復(fù)用線。在編程時(shí),P0口可用于接收指令代碼字節(jié);在校驗(yàn)時(shí),P0口可輸出指令字節(jié)(須外加上拉電阻)。P0口也可做通用I/O口使用,但需加上拉電阻,變?yōu)闇?zhǔn)雙向口。當(dāng)作為

6、普通輸入時(shí),應(yīng)將輸出鎖存器置1。P0口可驅(qū)動(dòng)8個(gè)TTL負(fù)載。P1口8位、準(zhǔn)雙向I/O口,具有內(nèi)部上拉電阻。P1口是為用戶準(zhǔn)備的I/O口雙向口。在編程和校驗(yàn)時(shí),可用做輸入低8位地址。用做輸入時(shí),應(yīng)先將輸出鎖存器置1。P1口可驅(qū)動(dòng)4個(gè)TTL負(fù)載。P2口8位、準(zhǔn)雙向I/O口,具有內(nèi)部上拉電阻。當(dāng)使用片外存儲(chǔ)器或外擴(kuò)I/O口時(shí),P2口輸出高8位地址。在編程/校驗(yàn)時(shí),P2口可接收高字節(jié)地址和某些控制信號(hào)。AT89C51引腳排列圖P2口也可做普通I/O口使用。用做輸入時(shí),應(yīng)先將輸出鎖存器置1。P1口可驅(qū)動(dòng)4個(gè)TTL負(fù)載。P3口8位、準(zhǔn)雙向I/O口,具有內(nèi)部上拉電阻。P3口可做普通I/O口使用。用做輸入時(shí),

7、應(yīng)先將輸出鎖存器置1。在編程/校驗(yàn)時(shí),P3口接收某些控制信號(hào)。它可驅(qū)動(dòng)4個(gè)TTL負(fù)載。AT89C51的編程電壓VPP為12V或5V,在產(chǎn)品封裝的頂部印有編程電壓標(biāo)志 3、74LS373鎖存器74LS373為三態(tài)輸出的八 D 透明鎖存器,共有 54S373 和 74LS373 兩種線路結(jié)構(gòu)型式,其主要電器特性的典型值如下(不同廠家具體值有差別): 型號(hào)373 的輸出端 O0O7 可直接與總線相連。 當(dāng)三態(tài)允許控制端 OE 為低電平時(shí),O0O7 為正常邏輯狀態(tài),可用來驅(qū)動(dòng)負(fù)載或總線。當(dāng) OE 為高電平時(shí),O0O7 呈高阻態(tài),即不驅(qū)動(dòng)總線,也不為總線的負(fù)載,但鎖存器內(nèi)部的邏輯操作不受影響。 當(dāng)鎖存允

8、許端 LE 為高電平時(shí),O 隨數(shù)據(jù) D 而變。當(dāng) LE 為低電平時(shí),O 被鎖存在已建立的數(shù)據(jù)電平。當(dāng) LE 端施密特觸發(fā)器的輸入滯后作用,使交流和直流噪聲抗擾度被改善 400mV。 引出端符號(hào): D0D7 數(shù)據(jù)輸入端 OE 三態(tài)允許控制端(低電平有效) LE 鎖存允許端 O0O7 輸出端4、 晶振電路部分單片機(jī)要想工作必須要在XTAL1和XTAL2端口加晶振電路,單片機(jī)工作速度也是由晶振電路決定的。5典型的晶振電路如圖3-2所示:圖3-2 晶振電路在晶振電路中,電路中電容C3和C4對振蕩頻率有微調(diào)作用,通常的取值范圍3010pF;石英晶體選擇6MHz或12MHz都可以。其結(jié)果只是機(jī)器周期時(shí)間不

9、同,影響記數(shù)器的記數(shù)初值和運(yùn)算速度。5、音符發(fā)音原理: 樂曲中不同的音符,實(shí)質(zhì)就是不同頻率的聲音。通過單片機(jī)產(chǎn)生不同的頻率的脈沖信號(hào),經(jīng)過放大電路,由蜂鳴器放出,就產(chǎn)生了美妙和諧的樂曲。6、 單片機(jī)產(chǎn)生不同頻率脈沖信號(hào)的原理:1)要產(chǎn)生音頻脈沖,只要算出某一音頻的脈沖(1/頻率),然后將此周期除以2,即為半周期的時(shí)間,利用定時(shí)器計(jì)時(shí)這個(gè)半周期的時(shí)間,每當(dāng)計(jì)時(shí)到后就將輸出脈沖的I/O反相,然后重復(fù)計(jì)時(shí)此半周期的時(shí)間再對I/O反相,就可以在I/O腳上得到此頻率的脈沖。2)利用8051的內(nèi)部定時(shí)器使其工作在計(jì)數(shù)器模式MODE1下,改變計(jì)數(shù)值TH0及TL0以產(chǎn)生不同頻率的方法如下: 例如,頻率為523

10、Hz,其周期天/523 S=1912uS,因此只要令計(jì)數(shù)器計(jì)時(shí)956uS/1us=956,在每計(jì)數(shù)956次時(shí)就將I/O反接,就可得到中音DO(532Hz)。計(jì)數(shù)脈沖值與頻率的關(guān)系公式如下:N=Fi/2/Fr (N:計(jì)數(shù)值,F(xiàn)i:內(nèi)部計(jì)時(shí)一次為1uS,故其頻率為1MHz,F(xiàn)r:要產(chǎn)生的頻率 )3)、 其計(jì)數(shù)值的求法如下:T=65536-N=65536-Fi/2/Fr計(jì)算舉例:設(shè)K=65536,F=1000000=Fi=1MHz,求低音DO(261Hz)、中音DO(523Hz)、高音DO(1046Hz)的計(jì)數(shù)值。T=65536-N=65536-Fi/2/Fr=65536-1000000/2/Fr=

11、65536-500000/Fr低音DO的T=65536-500000/262=63627中音DO的T=65536-500000/523=64580高音DO的T=65536-500000/1047=65059仿真原理圖六、軟件流程圖及程序清單開始初始化判斷是否有鍵按下顯示初始字符顯示曲目1播放曲目1顯示曲目2播放曲目2顯示曲目3播放曲目3無P1.0P1.1P1.2軟件清單:#include #include #include SoundPlay.h#define REG0 XBYTE0x0000/LCD寫指令寄存器的地址,可根據(jù)配置修改#define REG1 XBYTE0x0001/LCD讀出

12、數(shù)據(jù)到D0D7的地址,可根據(jù)配置修改#define REG2 XBYTE0x0002/LCD寫數(shù)據(jù)寄存器的地址,可根據(jù)配置修改#define REG3 XBYTE0x0003/LCD讀數(shù)據(jù)寄存器的地址,可根據(jù)配置修改unsigned char bdata busyflag;unsigned char dat,datn;unsigned char word116= to begain ;/第1行顯示緩存unsigned char word216= by huang ;/第2行顯示緩存code unsigned char word316=Fairy tale;/用于固定顯示的數(shù)據(jù),固化到ROM中c

13、ode unsigned char word416=by guangl;/用于固定顯示的數(shù)據(jù),固化到ROM中code unsigned char word516= The same song;/用于固定顯示的數(shù)據(jù),固化到ROM中code unsigned char word616= by everyone;/用于固定顯示的數(shù)據(jù),固化到ROM中code unsigned char word716= farewell;code unsigned char word816= by body;sbit busyflag_7=busyflag7;sbit p10=P10;sbit p11=P11;sbi

14、t p12=P12;void busy()/查詢LCD是否忙碌子程序dobusyflag=REG1;while(busyflag_7);void wrc(unsigned char wcon)/寫控制指令子程序busy();REG0=wcon;void wrd(unsigned char wdat)/寫數(shù)據(jù)寄存器子程序busy();REG2=wdat;void rdd()/讀數(shù)據(jù)寄存器子程序busy();dat=REG3;void lcdint()/LCD初始化子程序wrc(0x38);wrc(0x01);wrc(0x06);wrc(0x0c);void wrn(unsigned char w

15、ord)/連續(xù)寫n個(gè)字符數(shù)據(jù)到LCD的數(shù)據(jù)寄存器中unsigned char i;for(i=0;i16;i+)wrd(wordi); /*Music*/童話unsigned char code Music_Girl= 0x0F,0x67, 0x19,0x03, 0x18,0x03, 0x17,0x02, 0x17,0x03, 0x18,0x04, 0x17,0x0E, 0x17,0x02, 0x17,0x03, 0x18,0x03, 0x17,0x03, 0x18,0x03, 0x17,0x03, 0x16,0x04, 0x15,0x18, 0x15,0x03, 0x17,0x03, 0x1

16、9,0x03, 0x1A,0x02, 0x1A,0x03, 0x1A,0x04, 0x19,0x0E, 0x19,0x03, 0x16,0x03, 0x16,0x03, 0x18,0x04, 0x17,0x0E, 0x17,0x15, 0x15,0x03, 0x17,0x03, 0x19,0x03, 0x1A,0x02, 0x1A,0x03, 0x1A,0x04, 0x19,0x0E, 0x19,0x03, 0x16,0x03, 0x16,0x03, 0x18,0x03, 0x17,0x03, 0x18,0x03, 0x17,0x03, 0x16,0x04, 0x15,0x0E, 0x15,0

17、x02, 0x16,0x03, 0x17,0x03, 0x10,0x02, 0x10,0x03, 0x15,0x03, 0x15,0x02, 0x11,0x02, 0x15,0x14, 0x16,0x03, 0x17,0x03, 0x10,0x02, 0x10,0x03, 0x15,0x03, 0x15,0x0D, 0x11,0x03, 0x11,0x0D, 0x15,0x03, 0x15,0x00, 0x00,0x00 ;/同一首歌unsigned char code Music_Same= 0x0F,0x01, 0x15,0x02, 0x16,0x02, 0x17,0x66, 0x18,0

18、x03, 0x17,0x02, 0x15,0x02, 0x16,0x01, 0x15,0x02, 0x10,0x02, 0x15,0x00, 0x0F,0x01, 0x15,0x02, 0x16,0x02, 0x17,0x02, 0x17,0x03, 0x18,0x03, 0x19,0x02, 0x15,0x02, 0x18,0x66, 0x17,0x03, 0x19,0x02, 0x16,0x03, 0x17,0x03, 0x16,0x00, 0x17,0x01, 0x19,0x02, 0x1B,0x02, 0x1B,0x70, 0x1A,0x03, 0x1A,0x01, 0x19,0x02

19、, 0x19,0x03, 0x1A,0x03, 0x1B,0x02, 0x1A,0x0D, 0x19,0x03, 0x17,0x00, 0x18,0x66, 0x18,0x03, 0x19,0x02, 0x1A,0x02, 0x19,0x0C, 0x18,0x0D, 0x17,0x03, 0x16,0x01, 0x11,0x02, 0x11,0x03, 0x10,0x03, 0x0F,0x0C, 0x10,0x02, 0x15,0x00, 0x1F,0x01, 0x1A,0x01, 0x18,0x66, 0x19,0x03, 0x1A,0x01, 0x1B,0x02, 0x1B,0x03, 0

20、x1B,0x03, 0x1B,0x0C, 0x1A,0x0D, 0x19,0x03, 0x17,0x00, 0x1F,0x01, 0x1A,0x01, 0x18,0x66, 0x19,0x03, 0x1A,0x01, 0x10,0x02, 0x10,0x03, 0x10,0x03, 0x1A,0x0C, 0x18,0x0D, 0x17,0x03, 0x16,0x00, 0x0F,0x01, 0x15,0x02, 0x16,0x02, 0x17,0x70, 0x18,0x03, 0x17,0x02, 0x15,0x03, 0x15,0x03, 0x16,0x66, 0x16,0x03, 0x16

21、,0x02, 0x16,0x03, 0x15,0x03, 0x10,0x02, 0x10,0x01, 0x11,0x01, 0x11,0x66, 0x10,0x03, 0x0F,0x0C, 0x1A,0x02, 0x19,0x02, 0x16,0x03, 0x16,0x03, 0x18,0x66, 0x18,0x03, 0x18,0x02, 0x17,0x03, 0x16,0x03, 0x19,0x00, 0x00,0x00 ;/送別 unsigned char code Music_Code= 0x19,0x02, 0x17,0x0D, 0x19,0x03, 0x1F,0x01, 0x1A,

22、0x02, 0x1F,0x02, 0x19,0x01, 0x19,0x02, 0x15,0x0D, 0x16,0x03, 0x17,0x02, 0x16,0x0D, 0x15,0x03, 0x16,0x15, 0x19,0x02, 0x17,0x0D, 0x19,0x03, 0x1F,0x66, 0x1B,0x03, 0x1A,0x02, 0x1F,0x02, 0x19,0x01, 0x19,0x02, 0x16,0x0D, 0x17,0x03, 0x18,0x66, 0x11,0x03, 0x15,0x15, 0x1A,0x02, 0x1F,0x02, 0x1F,0x01, 0x1B,0x0

23、2, 0x1A,0x0D, 0x1B,0x03, 0x1F,0x01, 0x1A,0x0D, 0x1B,0x03, 0x1F,0x0C, 0x1A,0x03, 0x1A,0x0D, 0x19,0x03, 0x17,0x0D, 0x15,0x03, 0x16,0x15, 0x19,0x02, 0x17,0x0D, 0x19,0x03, 0x1F,0x66, 0x1B,0x03, 0x1A,0x02, 0x1F,0x02, 0x19,0x01, 0x19,0x02, 0x16,0x0D, 0x17,0x03, 0x18,0x66, 0x11,0x03, 0x15,0x65, 0x19,0x02,

24、0x17,0x0D, 0x19,0x03, 0x1F,0x01, 0x1A,0x02, 0x1F,0x02, 0x19,0x01, 0x19,0x02, 0x15,0x0D, 0x16,0x03, 0x17,0x02, 0x16,0x0D, 0x15,0x03, 0x16,0x15, 0x19,0x02, 0x17,0x0D, 0x19,0x03, 0x1F,0x66, 0x1B,0x03, 0x1A,0x02, 0x1F,0x02, 0x19,0x01, 0x19,0x02, 0x16,0x0D, 0x17,0x03, 0x18,0x66, 0x11,0x03, 0x15,0x15, 0x0

25、0,0x00 ;void main() InitialSound();lcdint();/初始化wrc(0x80);/顯示第1行的第1個(gè)字符wrn(word1);wrc(0xc0);/顯示第2行的第1個(gè)字符wrn(word2); while(1) if(p10=0) /判斷P1.0是否按下,并顯示數(shù)據(jù) wrc(0x80);wrn(word3);wrc(0xc0);wrn(word4);Play(Music_Girl,0,3,360);if(p11=0)/判斷P1.1是否按下,并顯示數(shù)據(jù)wrc(0x80);wrn(word5);wrc(0xc0);wrn(word6);Play(Music_Sa

26、me,0,3,360);if(p12=0)/判斷P1.2是否按下,并顯示數(shù)據(jù) wrc(0x80);wrn(word7);wrc(0xc0);wrn(word8);Play(Music_Code,0,3,360); 七、仿真過程中遇到的問題及解決辦法使用Keil仿真是編譯通過,但是按鍵沒有作用。解決辦法:首先檢查硬件連接電路,確認(rèn)無異常,然后查看編程源代碼,最后發(fā)現(xiàn)端口定義錯(cuò)誤。控制狀態(tài)失靈。微機(jī)輸出的控制信號(hào)常依賴某些條件的狀態(tài)輸入信號(hào)和這些信號(hào)的邏輯處理結(jié)果。若這些輸入的狀態(tài)信號(hào)受到干擾,引入虛假狀態(tài)信號(hào),將導(dǎo)致輸出控制誤差加大,甚至控制失常。 數(shù)據(jù)受干擾發(fā)生變化。單片機(jī)控制系統(tǒng)中,由于RA

27、M存儲(chǔ)器是可以讀/寫的,故在干擾的侵害下,RAM中的數(shù)據(jù)有可能被竄改。在單片微機(jī)系統(tǒng)中,程序及表格、常數(shù)存于程序存儲(chǔ)器中,避免了這些數(shù)據(jù)受到干擾破壞,但對于內(nèi)RAM、外擴(kuò)RAM中的數(shù)據(jù)都有可能受到外界干擾而變化。根據(jù)干擾竄入的途徑、受干擾數(shù)據(jù)的性質(zhì)不同,系統(tǒng)受損壞的情況也不同有的造成數(shù)據(jù)誤差有的使控制失靈,有的改變程序狀態(tài),有的改變某些部件(如定時(shí)器/計(jì)數(shù)器,串行口等)的工作狀態(tài)等。 程序運(yùn)行失常。單片機(jī)控制系統(tǒng)中程序計(jì)數(shù)器的正常工作,是系統(tǒng)維持程序正常運(yùn)行的關(guān)鍵所在。如果外界干擾導(dǎo)致計(jì)數(shù)器的值改變,破壞了程序的正常運(yùn)行。由于受到干擾后計(jì)數(shù)器的值是隨機(jī)的,因而導(dǎo)致程序混亂。通常的情況是程序?qū)?zhí)

28、行一系列毫無意義的指令,最后進(jìn)入死循環(huán),這將使輸出嚴(yán)重混亂或系統(tǒng)失靈。八、課設(shè)的心得體會(huì)經(jīng)過兩周的奮戰(zhàn)我的課程設(shè)計(jì)終于完成了。在沒有做課程設(shè)計(jì)以前覺得課程設(shè)計(jì)只是對這幾年來所學(xué)知識(shí)的單純總結(jié),但是通過這次做課程設(shè)計(jì)發(fā)現(xiàn)自己的看法有點(diǎn)太片面。課程設(shè)計(jì)不僅是對前面所學(xué)知識(shí)的一種檢驗(yàn),而且也是對自己能力的一種提高。通過這次課程設(shè)計(jì)使我明白了自己原來知識(shí)還比較欠缺。自己要學(xué)習(xí)的東西還太多,以前老是覺得自己什么東西都會(huì),什么東西都懂,有點(diǎn)眼高手低。通過這次課程設(shè)計(jì),我才明白學(xué)習(xí)是一個(gè)長期積累的過程,在以后的工作、生活中都應(yīng)該不斷的學(xué)習(xí),努力提高自己知識(shí)和綜合素質(zhì)。九、參考文獻(xiàn)1C+語言程序設(shè)計(jì)/鄭莉,董淵,張瑞豐編著.3版.北京:清華大學(xué)出版社,2003.122.單片機(jī)原理及其接口技術(shù)/胡漢才編著.-3版.北京:清華大學(xué)出版社,2010.5 ISBN 978-302-21453-33. PROTEUS入門實(shí)用教程/周潤景,張麗娜,劉印群編著. 機(jī)械工業(yè)出版社 2007.094.proteus仿真論壇及相關(guān)網(wǎng)站教師評語: 教師: 日期:設(shè)計(jì)過程30課設(shè)報(bào)告40答辯30總成績

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔

相關(guān)搜索

關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!