九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

《數(shù)字搶答器設(shè)計(jì)》word版

上傳人:dus****log 文檔編號(hào):75928447 上傳時(shí)間:2022-04-17 格式:DOCX 頁(yè)數(shù):17 大小:112.28KB
收藏 版權(quán)申訴 舉報(bào) 下載
《數(shù)字搶答器設(shè)計(jì)》word版_第1頁(yè)
第1頁(yè) / 共17頁(yè)
《數(shù)字搶答器設(shè)計(jì)》word版_第2頁(yè)
第2頁(yè) / 共17頁(yè)
《數(shù)字搶答器設(shè)計(jì)》word版_第3頁(yè)
第3頁(yè) / 共17頁(yè)

下載文檔到電腦,查找使用更方便

8 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《《數(shù)字搶答器設(shè)計(jì)》word版》由會(huì)員分享,可在線閱讀,更多相關(guān)《《數(shù)字搶答器設(shè)計(jì)》word版(17頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、數(shù)字搶答器設(shè)計(jì)摘 要隨著科學(xué)研究的不斷深入,學(xué)習(xí)科學(xué)、技術(shù)、知識(shí)的手段日益增加。搶答器作為一種工具,在智力和知識(shí)競(jìng)賽場(chǎng)合已經(jīng)得到了廣泛的應(yīng)用。因此,搶答器的設(shè)計(jì)成為研究的熱點(diǎn)。本文基于74系列的常用集成電路設(shè)計(jì)了一種多功能數(shù)顯搶答器,該搶答器除了具有基本的搶答功能之外,還具有定時(shí)報(bào)警、數(shù)顯的功能。在設(shè)計(jì)的過(guò)程中考慮了八路搶答器,的電路組成、設(shè)計(jì)思路及功能。搶答器主要由搶答模塊、定時(shí)模塊和報(bào)警模塊組成,利用Multisim10軟件對(duì)所設(shè)計(jì)的電路進(jìn)行仿真,結(jié)果得到當(dāng)預(yù)設(shè)過(guò)供搶答的時(shí)間,系統(tǒng)將完成自動(dòng)倒計(jì)時(shí)。若在規(guī)定的時(shí)間內(nèi)有人搶答,則計(jì)時(shí)將自動(dòng)停止;若在規(guī)定的時(shí)間內(nèi)無(wú)人搶答,則系統(tǒng)中的蜂鳴器將發(fā)響

2、,提示主持人本輪搶答無(wú)效,實(shí)現(xiàn)報(bào)警功能。關(guān)鍵詞:八路搶答器;EDA;Multisim10;報(bào)警;數(shù)顯The design of digital answering racerABSTRACTWith the deepening of the scientific research, means of learning science, technology, knowledge getting more numerous.Thefigureviesfortheansweringdevice as a tool has been widely used in the intelligence a

3、nd knowledge competition situation. Therefore, the design of the figureviesfortheansweringdevice is becoming a hot spot of research. Based on common-used series of integrated circuit,this paper design a multi-function digital display responder. The answering races function includes timing, counting,

4、 and alarming, besides the basic function of an answering racer. Considered circuit composition, function and design ideas in the design process of the eight-way responder. The designed buzzer is mainly composed of vies to answer first module, timing module and alarming modul. Through the Multisim10

5、 to carry on the design of simulation, the experimental results show whenthe time of buzzer has preset, the system will complete automatic countdown. If nobody answer the question on time, the alarm will give out some sound, helping the host know the race in this turn is of no use, so the function o

6、f alarming is achieved.Keywords:eight-way responder; Multisim10; alarming; digital display 目 錄一 、引言21.1研究背景及意義21.2 研究現(xiàn)狀31.3本文主要工作4二 、Multisim10以及搶答器的簡(jiǎn)介52.1 Multisim10的簡(jiǎn)介52.1.1 Multisim10 界面基本操作52.1.2 Multisim10 使用的注意事項(xiàng)92.2 搶答器的簡(jiǎn)介92.2.1 搶答器的需求分析92.2.2 搶答器的工作流程9三 、電路設(shè)計(jì)的具體實(shí)現(xiàn)123.1 系統(tǒng)概述123.1.1搶答器的組成框圖123

7、.1.2搶答器的基本功能以及擴(kuò)展功能133.2 單元電路設(shè)計(jì)和分析143.2.1搶答電路的設(shè)計(jì)143.2.2定時(shí)電路的設(shè)計(jì)153.2.3報(bào)警電路的設(shè)計(jì)173.2.3整機(jī)電路17四 、仿真實(shí)驗(yàn)194.1搶答電路仿真194.2定時(shí)電路仿真204.3報(bào)警電路仿真214.4系統(tǒng)故障的分析234.1.1搶答鎖存顯示電路故障開路234.1.2定時(shí)顯示報(bào)警電路故障短路234.1.3時(shí)鐘信號(hào)產(chǎn)生電路故障開路24五、總結(jié)26致謝27參考文獻(xiàn)28一 、引言1.1研究背景及意義當(dāng)今的社會(huì)競(jìng)爭(zhēng)日益激烈,選拔人才,評(píng)選優(yōu)勝,知識(shí)競(jìng)賽之類的活動(dòng)愈加頻繁,那么也就必然離不開搶答器。搶答器作為一種能夠直觀、精確、公正地判斷搶

8、答者的機(jī)器已經(jīng)普遍出現(xiàn)在人們?nèi)粘8?jìng)賽和文體娛樂(lè)活動(dòng)中,它為各種競(jìng)賽添加了刺激性、娛樂(lè)性,同時(shí)在一定程度上豐富了人們的業(yè)余生活。而現(xiàn)在的搶答器有著數(shù)字化1,智能化的方向發(fā)展23,這就必然提高了搶答器的成本。鑒于現(xiàn)在小規(guī)模的知識(shí)競(jìng)賽越來(lái)越多,操作簡(jiǎn)單,經(jīng)濟(jì)實(shí)用的小型搶答器必將大有市場(chǎng)。本搶答器通過(guò)十分巧妙的設(shè)計(jì)僅用兩塊數(shù)字芯片便實(shí)現(xiàn)了數(shù)顯搶答的功能,與其他搶答器電路相比較有分辨時(shí)間極短、結(jié)構(gòu)清晰,成本低、制作方便等優(yōu)點(diǎn),并且還有防作弊功能。因此,我們制作了這款簡(jiǎn)易八路搶答器屏棄了成本高,體積大,而且操作復(fù)雜。我們采用了數(shù)字顯示器直接指示,自動(dòng)鎖存顯示結(jié)果,并自動(dòng)復(fù)位的設(shè)計(jì)思想,因而本搶答器具有顯示

9、直觀,不需要人干預(yù)的特點(diǎn)。而且在顯示時(shí)搶答器會(huì)發(fā)出叮咚聲使效果更為生動(dòng)。工廠、學(xué)校和電視臺(tái)等單位常舉辦各種智力競(jìng)賽, 搶答記分器是必要設(shè)備。1.2 研究現(xiàn)狀隨著我國(guó)搶答器市場(chǎng)的迅猛發(fā)展,與之相關(guān)的核心生產(chǎn)技術(shù)應(yīng)用與研發(fā)必將成為業(yè)內(nèi)企業(yè)關(guān)注的焦點(diǎn)。技術(shù)工藝,是衡量一個(gè)企業(yè)是否具有先進(jìn)性,是否具備市場(chǎng)競(jìng)爭(zhēng)力,是否能不斷領(lǐng)先于競(jìng)爭(zhēng)者的重要指標(biāo)依據(jù)。了解國(guó)內(nèi)外搶答器生產(chǎn)核心技術(shù)的研發(fā)動(dòng)向、工藝設(shè)備、技術(shù)應(yīng)用及趨勢(shì)對(duì)于企業(yè)提升產(chǎn)品技術(shù)規(guī)格,提高市場(chǎng)競(jìng)爭(zhēng)力十分關(guān)鍵。目前市場(chǎng)上搶答器種類繁多,功能各異,價(jià)格差異也很大。那么選擇一款真正適合的搶答器就非常重要。搶答器一般分為電子搶答器和電腦搶答器4-5。電子搶

10、答器的中心構(gòu)造一般都是由搶答器由數(shù)字電子集成電路組成,其搭配的配件不同又分為,非語(yǔ)音非記分搶答器和語(yǔ)音記分搶答器。非語(yǔ)音記分搶答器構(gòu)造很簡(jiǎn)單,就是一個(gè)搶答器的主機(jī)和一個(gè)搶答按鈕組成,在搶答過(guò)程中選手是沒(méi)有記分的顯示屏。語(yǔ)音記分搶答器是由一個(gè)搶答器的主機(jī)、主機(jī)的顯示屏以及選手的記分顯示屏等構(gòu)成,具有記分等功能。電子搶答器多適用于學(xué)校和企事業(yè)單位舉行的簡(jiǎn)單的搶答活動(dòng)。電腦搶答器又分為無(wú)線電腦搶答器和有線電腦搶答器。無(wú)線電腦搶答器的構(gòu)成是由:主機(jī)和搶答器專用的軟件和無(wú)線按鈕。無(wú)線電腦搶答器利用電腦和投影儀,可以把搶答氣氛活躍起來(lái),一般多使用于電臺(tái)等大型的活動(dòng)。有線電腦搶答器也是由主機(jī)和電腦配合起來(lái),

11、電腦再和投影儀配合起來(lái),利用專門研發(fā)的配套的搶答器軟件,可以十分完美的表現(xiàn)搶答的氣氛。搶答器作為一種電子產(chǎn)品,早已廣泛應(yīng)用于各種智力和知識(shí)競(jìng)賽場(chǎng)合,但目前所使用的搶答器有的電路較復(fù)雜不便于制作,可靠性低,實(shí)現(xiàn)起來(lái)很困難;有的則用一些專用的集成塊,而專用集成塊的購(gòu)買又很困難。而本文所設(shè)計(jì)的多功能搶答器數(shù)碼顯示八路搶答器具有電路簡(jiǎn)單,元件普通,易于購(gòu)買等優(yōu)點(diǎn),很好地解決了制作者制作困難和難于購(gòu)買的問(wèn)題。且在國(guó)內(nèi)外已經(jīng)開始了普遍的應(yīng)用。1.3本文主要工作本文基于傳統(tǒng)的電路設(shè)計(jì)分析,利用74系列常用集成電路設(shè)計(jì)了一種多功能數(shù)顯搶答器。該搶答器可同時(shí)供8位選手或8個(gè)代表隊(duì)參賽,每隊(duì)各用一個(gè)搶答器按鍵,搶

12、答器具備的功能有:(1)節(jié)目主持人可以通過(guò)設(shè)置控制開關(guān)來(lái)控制系統(tǒng)的清零和搶答開始。(2)搶答器還具有數(shù)據(jù)鎖存和顯示功能。搶答開始后,若有人按動(dòng)搶答器按鍵,編號(hào)立即鎖存,并顯示出選手的號(hào)碼,同時(shí)給出音響提示。此外要封鎖其它輸入電路,禁止其它選手搶答;(3)搶答器具有定時(shí)搶答功能。即每次搶答的時(shí)間可以由主持人設(shè)定。當(dāng)主持人啟動(dòng)開始后,要求定時(shí)器減計(jì)數(shù)。參賽選手在規(guī)定的時(shí)間內(nèi)搶答有效,如果無(wú)人搶答則本次無(wú)效。二 、Multisim10以及搶答器的簡(jiǎn)介2.1 Multisim10的簡(jiǎn)介Multisim6-7是加拿大圖像交互技術(shù)公司(Interactive Image Technologies,簡(jiǎn)稱II

13、T公司,原Electronics Workbench公司)推出的基于Windows的虛擬仿真軟件,適用于板級(jí)的模擬電路板和數(shù)字電路板設(shè)計(jì)。Multisim軟件是一個(gè)專門用于電子電路仿真與設(shè)計(jì)的EDA工具軟件。作為 Windows下運(yùn)行的個(gè)人桌面電子設(shè)計(jì)工具,Multisim 是一個(gè)完整的集成化設(shè)計(jì)環(huán)境。為適應(yīng)不同的應(yīng)用場(chǎng)合,Multisim推出了許多版本適應(yīng)不同用戶的需要。Multisim軟件結(jié)合了直觀的捕捉和強(qiáng)大的功能仿真,可以對(duì)所設(shè)計(jì)的電路進(jìn)行全面驗(yàn)證。Multisim 軟件是迄今為止,在電路級(jí)仿真張表現(xiàn)最為出色的軟件,有了Multisim軟件就相當(dāng)于擁有了一個(gè)設(shè)備齊全的實(shí)驗(yàn)室,可以非常方

14、便的從事電路設(shè)計(jì)、仿真、分析等工作。Multisim軟件前身是IIT公司在20世紀(jì)八十年代后期推出的電路仿真軟件EWB(Electronics Workbench),后來(lái),EWB將原先版本中的仿真設(shè)計(jì)模塊更名為multisim,之后又相繼推出了multisim2001、multisim 7等各個(gè)版本。2005年以后,加拿大IIT公司隸屬于美國(guó)國(guó)家儀器公司(National Instrument,簡(jiǎn)稱NI公司),美國(guó)NI公司于2006年初首次推出Multisim9.0版本。目前最新版本是美國(guó)NI公司推出的Multisim10。相對(duì)于其它EDA軟件,它具有更加形象直觀的人機(jī)交互界面,并且提供更加豐

15、富的元件庫(kù)、儀表庫(kù)和各種分析方法。完全滿足電路的各種仿真需要。NI Multisim10軟件則不僅僅局限于電子電路的虛擬仿真,其在LabVIEW虛擬儀器、單片機(jī)仿真等技術(shù)方面都有更多的創(chuàng)新和提高,屬于EDA技術(shù)的更高層次范疇。 Multisim10 界面基本操作1. 啟動(dòng)Multisim 10雙擊桌面上的Multisim快捷方式或選擇程序菜單中的Multisim選項(xiàng),即可進(jìn)入,進(jìn)入界面如圖2-1所示。圖2-1 Multisim10 啟動(dòng)界面2. Multisim編輯界面編輯界面中各窗口功能如圖2-2所示。其中軟件選項(xiàng)的設(shè)置可單擊“主菜單欄”中的“option”選項(xiàng),選擇“Global Pref

16、erences”,出現(xiàn)圖2-3的對(duì)話窗口,Symbol standard選項(xiàng)中ANSI中的電阻符號(hào)如圖2-4中的(a)圖所示,DIN中的電阻符號(hào)如圖2-4中的(b)圖所示。圖2-2 編輯界面圖圖2-3 選項(xiàng)窗口 (a)ANSI中的電阻 (b)DIN中的電阻圖2-4 Symbol standard選項(xiàng)電阻符號(hào)的表達(dá)3. 元器件庫(kù)的操作元器件庫(kù)中元件符號(hào)所對(duì)應(yīng)的元件名稱如圖2-1所示。圖2-5元件符號(hào)及其名稱4. 儀表庫(kù)操作Multisim中的儀表調(diào)用十分簡(jiǎn)單,從儀表庫(kù)中單擊要調(diào)用的儀表,光標(biāo)附著儀表,移動(dòng)光標(biāo)到目標(biāo)位置,單擊鼠標(biāo)左鍵放置儀表,完成儀表調(diào)用,儀表庫(kù)如圖2-6所示。圖2-6 Mult

17、isim中儀表庫(kù)2.1.2 Multisim10 使用的注意事項(xiàng)Multisim10在使用時(shí)主要的注意事項(xiàng)包括:(1) 不要長(zhǎng)時(shí)間使軟件處于仿真狀態(tài),以免死機(jī);(2) 刪除元件、儀器、連線等,一定要在斷開仿真開關(guān)的情況下進(jìn)行;(3) 注意數(shù)字地與模擬地的差別2.2 搶答器的簡(jiǎn)介2.2.1 搶答器的需求分析1、 在搶答中,只有開始后搶答才有效,如果在開始搶答前搶答為無(wú)效。2、 搶答時(shí)間和回答問(wèn)題的時(shí)間是有限制的,在搶答器工作的時(shí)候可以對(duì)其進(jìn)行設(shè)置。3、 搶答器可以顯示是哪位選手有效搶答和無(wú)效搶答,正確按鍵后有音樂(lè)提示。4、 搶答時(shí)間和回答問(wèn)題時(shí)間倒記時(shí)顯示,時(shí)間完后系統(tǒng)自動(dòng)復(fù)位。5、 按鍵鎖定,

18、在有效狀態(tài)下,按鍵無(wú)效非法。2.2.2 搶答器的工作流程搶答器的基本工作原理8-12:在搶答競(jìng)賽或呼叫時(shí),有多個(gè)信號(hào)同時(shí)或不同時(shí)送入主電路中,搶答器內(nèi)部的寄存器工作,并識(shí)別、記錄第一個(gè)號(hào)碼,同時(shí)內(nèi)部的定時(shí)器開始工作,記錄有關(guān)時(shí)間并產(chǎn)生超時(shí)信號(hào)。在整個(gè)搶答器工作過(guò)程中,顯示電路、語(yǔ)音電路等還要根據(jù)現(xiàn)場(chǎng)的實(shí)際情況向外電路輸出相應(yīng)信號(hào)。搶答器的工作流程分為、系統(tǒng)復(fù)位、正常流程、違例流程等幾部分,如圖2-2所示。圖2-7 搶答器的工作流程圖一般搶答器的工作過(guò)程可簡(jiǎn)述為:1、如果想調(diào)節(jié)搶答時(shí)間或答題時(shí)間,按加一鍵或減一鍵進(jìn)入調(diào)節(jié)狀態(tài),LED數(shù)碼管會(huì)顯示現(xiàn)在設(shè)定的搶答時(shí)間或回答時(shí)間值,如想加一秒按一下加1

19、s鍵,如果想減一秒按一下減1s鍵,LED上會(huì)顯示改變后的時(shí)間,如果調(diào)整范圍為099s,0s時(shí)再減1s會(huì)跳到99,99s時(shí)再加1s會(huì)變到0s。2、主持人若按搶答開始鍵,會(huì)有提示音,并立刻進(jìn)入搶答倒計(jì)時(shí),如有選手搶答,會(huì)有提示音,并會(huì)顯示其號(hào)數(shù)并立刻進(jìn)入回答倒計(jì)時(shí),不進(jìn)行搶答查詢,所以只有第一個(gè)按搶答的選手有效。倒數(shù)時(shí)間到小于設(shè)定值會(huì)每秒響一下提示音。3、若倒計(jì)時(shí)期間,主持人想停止倒計(jì)時(shí)可以隨時(shí)按停止按鍵,系統(tǒng)會(huì)自動(dòng)進(jìn)入準(zhǔn)備狀態(tài),等待主持人按搶答開始進(jìn)入下次搶答計(jì)時(shí)。4、如果主持人未按搶答開始鍵,而有人按了搶答按鍵,犯規(guī)搶答,LED上不斷閃爍FF和犯規(guī)號(hào)數(shù)并響個(gè)不停,直到按下停止鍵為止。三 、電路

20、設(shè)計(jì)的具體實(shí)現(xiàn)3.1 系統(tǒng)概述3.1.1搶答器的組成框圖定時(shí)搶答器的總體框圖如圖 1 所示,它由主體電路和擴(kuò)展電路兩部分組成。主體電路完成基本的搶答功能,即開始搶答后,當(dāng)選手按動(dòng)搶答鍵時(shí),能顯示選手的編號(hào),同時(shí)能封鎖輸入電路,禁止其他選手搶答。擴(kuò)展電路完成定時(shí)搶答的功能。圖 1 所示的定時(shí)搶答器的工作過(guò)程是:接通電源時(shí),節(jié)目主持人將開關(guān)置于“清除”位置,搶答器處于禁止工作狀態(tài),編號(hào)顯示器滅燈,定時(shí)顯示器顯示設(shè)定的時(shí)間,當(dāng)節(jié)目主持人宣布搶答題目后,說(shuō)一聲“搶答開始”,同時(shí)將控制開關(guān)撥到“開始”位置,揚(yáng)聲器給出聲響提示,搶答器處于工作狀態(tài),定時(shí)器倒計(jì)時(shí)。當(dāng)定時(shí)時(shí)間到,卻沒(méi)有選手搶答時(shí),系統(tǒng)報(bào)警,并

21、封鎖輸入電路,禁止選手超時(shí)后搶答。當(dāng)選手在定時(shí)時(shí)間內(nèi)按動(dòng)搶答鍵時(shí),搶答器要完成以下四項(xiàng)工作:優(yōu)先編碼電路立即分辨出搶答者的編號(hào),并由鎖存器進(jìn)行鎖存,然后由譯碼顯示電路顯示編號(hào);揚(yáng)聲器發(fā)出短暫聲響,提醒節(jié)目主持人注意;控制電路要對(duì)輸入編碼電路進(jìn)行封鎖,避免其他選手再次進(jìn)行搶答;控制電路要使定時(shí)器停止工作,時(shí)間顯示器上顯示剩余的搶答時(shí)間,并保持到主持人將系統(tǒng)清零為止。當(dāng)選手將問(wèn)題回答完畢,主持人操作控制開關(guān),使系統(tǒng)回復(fù)到禁止工作狀態(tài),以便進(jìn)行下一輪搶答。圖3-1 搶答器總體總體框圖搶答器的原理可簡(jiǎn)述為:八路數(shù)字搶答器主要由搶答電路、定時(shí)電路、秒脈沖產(chǎn)生電路和報(bào)警電路組成。搶答電路設(shè)有八組按鈕同時(shí)供

22、八名或八組選手搶答使用,搶答開始后,優(yōu)先搶答的選手的搶答信號(hào)被鎖存器鎖存并能禁止其他選手重復(fù)搶答,被鎖存的選手搶答信號(hào)經(jīng)由搶答電路的優(yōu)先編碼器編碼,再由譯碼電路譯碼后,最后在數(shù)碼管中顯示該選手的編號(hào)。搶答系統(tǒng)設(shè)置一個(gè)控制和清零開關(guān),主持人控制開關(guān)通過(guò)控制電路對(duì)搶答過(guò)程進(jìn)行控制。此外搶答電路設(shè)置了定時(shí)搶答功能,主持人通過(guò)具有置數(shù)和清零功能的同步十進(jìn)制減計(jì)數(shù)器74LS192置數(shù)端輸入時(shí)間,經(jīng)由譯碼電路并最終在LED數(shù)碼管中顯示。3.1.2搶答器的基本功能以及擴(kuò)展功能(1)基本功能設(shè)計(jì)一個(gè)智力競(jìng)賽搶答器,可同時(shí)供 8 名選手或 8 個(gè)代表隊(duì)參加比賽,他們的編號(hào)分別是0、1、2、3、4、5、6、7,各

23、用一個(gè)搶答按鈕,按鈕的編號(hào)與選手的編號(hào)相對(duì)應(yīng),分別是 So、S1、S2、S3、S4、S5、S6、S7。給節(jié)目主持人設(shè)置一個(gè)控制開關(guān),用來(lái)控制系統(tǒng)的清零(編號(hào)顯示數(shù)碼管滅燈)和搶答的開始。搶答器具有數(shù)據(jù)鎖存和顯示的功能。搶答開始后,若有選手按動(dòng)搶答按鈕,編號(hào)立即鎖存,并在 LED 數(shù)碼管上顯示出選手的編號(hào),同時(shí)蜂鳴器給出音響提示。此外,要封鎖輸入電路,禁止其他選手搶答。優(yōu)先搶答選手的編號(hào)一直保持到主持人將系統(tǒng)清零為止。(2)擴(kuò)展功能搶答器具有定時(shí)搶答的功能,且一次搶答的時(shí)間可以由主持人設(shè)定(如 30s)。當(dāng)節(jié)目主持人啟動(dòng)“開始”鍵后,要求定時(shí)器立即減計(jì)時(shí),并用顯示器顯示,同時(shí)蜂鳴器發(fā)出聲響。 參

24、賽選手在設(shè)定的時(shí)間內(nèi)搶答,搶答有效,定時(shí)器停止工作,顯示器上顯示選的編號(hào)和搶答時(shí)刻的時(shí)間,并保持到主持人將系統(tǒng)清零為止。 如果定時(shí)搶答的時(shí)間已到,卻沒(méi)有選手搶答時(shí),本次搶答無(wú)效,系統(tǒng)短暫報(bào)警,并封鎖輸入電路,禁止選手超時(shí)后搶答,時(shí)間顯示器上顯示 00。3.2 單元電路設(shè)計(jì)和分析3.2.1搶答電路的設(shè)計(jì)圖3-2 搶答電路搶答電路的功能有兩個(gè):一是能分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號(hào),供譯碼顯示電路用;二是要使其他選手的按鍵操作無(wú)效。使用的元器件為:8線3線編碼器74LS148,4RS鎖存器74LS279,7段共陰極顯示數(shù)碼管。其電路組成如圖3- 2 所示。其工作原理是:主持人鍵,控制整

25、個(gè)電路,J10=0時(shí)全電路復(fù)位清零,J10=1時(shí)電路開始工作。74LS148的07端接收八個(gè)搶答者輸入的信號(hào),即8個(gè)搶答按鈕AH,按鈕按下(接地)為搶答,未按下(通過(guò)上拉電阻接Vcc)為未搶答。74LS279中有4個(gè)RS鎖存器,四個(gè)RS鎖存器的R端均由主持人控制復(fù)位。第一個(gè)RS鎖存器的S端受74LS148的GS端控制,一旦有人搶答時(shí),GS=0,第一個(gè)RS鎖存器的S1=0,則Q1=1,作為控制信號(hào)X,接74LS148的E端和74LS48的BI/RBO端,使74LS148不能再接收其他搶答信號(hào),74LS48輸出也被鎖存在第一個(gè)搶答者的號(hào)碼上。第二、第三、第四個(gè)RS鎖存器的S端接收并鎖存74LS14

26、8的編碼輸出,再經(jīng)4位二進(jìn)制加法器74LS83進(jìn)行加1處理,給74LS148編碼輸出的07 加1,使八個(gè)搶答者的編號(hào)變?yōu)?8。該電路的工作流程為:J10復(fù)位后啟動(dòng),輸出顯示0;有人搶答(例如5號(hào)按鈕E),74LS148輸出A2A1A0=011,經(jīng)74LS279置數(shù)鎖存后,Q4Q3Q2=100,;經(jīng)加法器74LS83加1后輸出碼為101,七段數(shù)碼管輸出的七段abcdefg=1011011,七段數(shù)碼管顯示數(shù)字5;同時(shí)74LS279的第一個(gè)RS鎖存器輸出Q1=1,封鎖了74LS148和74LS48,之后即使再有人搶答電路也狀態(tài)也不會(huì)變化。當(dāng)主持人按下J10后,各元器件復(fù)位,數(shù)碼管顯示數(shù)字0,電路再次

27、工作。在優(yōu)先編碼器電路中可以同時(shí)輸入兩個(gè)及其以上編碼的信號(hào)。但在設(shè)計(jì)優(yōu)先編碼器時(shí)已按照優(yōu)先的順序把其輸入信號(hào)排了隊(duì),當(dāng)同時(shí)輸入幾個(gè)信號(hào)時(shí),只針對(duì)其中最高優(yōu)先權(quán)的那個(gè)編碼。74LS148為8線-3線優(yōu)先編碼器,可將8條數(shù)據(jù)線進(jìn)行3線二進(jìn)制優(yōu)先編碼,即對(duì)最高為數(shù)據(jù)線進(jìn)行譯碼。8線-3線優(yōu)先編碼器74LS148真值表如表3-1所示:表3-18-3優(yōu)先編碼器74LS148真值表輸入輸出E1I0I1I2I3I4I5I6I7A2A1A0GSEO1xxxxxxxx11111011111111111100xxxxxxx0000010xxxxxx01001100xxxxx011010100xxxx0111011

28、100xxx01111100100xx011111101100x01111111101000111111111110優(yōu)先編碼器工作原理:由上表中可以得出,74LS148的輸入端和輸出端低電平有效。I0I7為輸入信號(hào),A2A0為三位二進(jìn)制編碼輸出信號(hào)。74LS148輸入端優(yōu)先級(jí)別的次序依次為I7,I6,I0 。當(dāng)某一輸入端有低電平輸入,且比它優(yōu)先級(jí)別高的輸入端沒(méi)有低電平輸入時(shí),輸出端才輸出相應(yīng)該輸入端的代碼13。 74LS148邏輯方程: (3-1)(3-2)(3-3)3.2.2定時(shí)電路的設(shè)計(jì)該部分主要由 555 定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步可逆計(jì)數(shù)器 74LS192 減法計(jì)數(shù)電路、74LS

29、48 譯碼電路和 2 個(gè) 7 段數(shù)碼管及相關(guān)電路組成。完成的功能是當(dāng)主持人按下開始搶答按鈕后,進(jìn)行預(yù)定時(shí)間的倒計(jì)時(shí),到 00s 時(shí)產(chǎn)生報(bào)警。當(dāng)有人搶答時(shí),計(jì)時(shí)停止。兩塊 74LS192 實(shí)現(xiàn)減法計(jì)數(shù),通過(guò)譯碼電路 74LS48 顯示到數(shù)碼管上,其時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。主持人根據(jù)題目難易程度通過(guò)74LS192 的預(yù)置數(shù)控制端輸入時(shí)間來(lái)規(guī)定搶答時(shí)間,脈沖電路產(chǎn)生并提供時(shí)鐘脈沖給計(jì)數(shù)器。當(dāng)按鍵開啟時(shí),計(jì)數(shù)器開始工作,并進(jìn)行減法計(jì)數(shù),在共陰極七段數(shù)碼顯示管上輸出顯示計(jì)時(shí)的時(shí)間,如果期間有人搶答,停止計(jì)數(shù)并顯示計(jì)時(shí)時(shí)間;當(dāng)計(jì)時(shí)時(shí)間停止而且在這期間沒(méi)人搶答時(shí),低電平信號(hào)將輸出到時(shí)序控制電路,并將控制

30、報(bào)警電路發(fā)出報(bào)警提示,同時(shí)宣布此后重復(fù)搶答的選手搶答無(wú)效。555 構(gòu)成的是多諧振蕩器,為電路提供脈沖信號(hào),保證倒計(jì)時(shí)電路即有 74LS192 可逆計(jì)數(shù)器構(gòu)成的倒數(shù)功能正常顯示。555芯片可以提供長(zhǎng)度從毫秒以下到幾秒鐘的單脈沖,或者輸出占空比從050%的周期性信號(hào)。這個(gè)芯片本身可以兼容多種不同的輸入電壓14。兩片可逆計(jì)數(shù)器 74LS192 實(shí)現(xiàn)倒計(jì)時(shí)功能,同時(shí)通過(guò)發(fā)光二極管提示剩余時(shí)間。定時(shí)電路具體設(shè)計(jì)電路如圖3-3所示:圖3-3 可預(yù)置時(shí)間的定時(shí)電路74LS192是具有置數(shù)和清零功能的同步十進(jìn)制減計(jì)數(shù)器,功能表如表3-3所示:表3-374LS192功能表輸入輸出MRCPUCPDP3P2P1P0

31、Q3Q2Q1Q01000000dcbadcba011加計(jì)數(shù)011減計(jì)數(shù)3.2.3報(bào)警電路的設(shè)計(jì)由555定時(shí)器和三極管構(gòu)成的報(bào)警電路如下圖3-4所示,555定時(shí)器構(gòu)成的多諧震蕩器,振蕩頻率fo143(RI2R2)C,其輸出信號(hào)經(jīng)三極管推動(dòng)揚(yáng)聲器,PR為控制信號(hào),PR為高電平時(shí),多諧震蕩器工作,否則震蕩器振停。定時(shí)器的主要功能取決于比較器的輸出控制RS觸發(fā)器和放電BJT的狀態(tài)。4為復(fù)位端,當(dāng)4為低電平時(shí),不管其他輸入端的狀態(tài)如何,輸出為低電平。因此在正常工作時(shí),應(yīng)將其接高電平。報(bào)警電路原理圖如下圖3-4所示:圖3-4 報(bào)警電路3.2.3整機(jī)電路將三個(gè)電路進(jìn)行封裝整合,形成一個(gè)整體電路,如圖3-5所

32、示。由于仿真速度較慢,故使用1KHz的時(shí)鐘信號(hào)取代1Hz的時(shí)鐘。實(shí)際安裝調(diào)試時(shí),將SC4的clk_1Hz 接SC1的clk_1Hz即可正常使用。由于用于顯示時(shí)間的兩個(gè)數(shù)碼管管腳較多,使用總線減小空間。圖3-5 整機(jī)電路四 、仿真實(shí)驗(yàn)作為虛擬的電子工作臺(tái),Multisim提供了較為詳細(xì)的電路分析手段,以幫助設(shè)計(jì)人員分析電路的性能。Multisim的仿真功能十分強(qiáng)大,軟件的仿真具有多種仿真引擎、交互式方針、支持網(wǎng)表仿真以及電路一致性檢查的特點(diǎn),分析結(jié)果在分析圖表窗口中直觀地表現(xiàn)出來(lái)15。用Multisim軟件對(duì)電路設(shè)計(jì)進(jìn)行仿真以驗(yàn)證搶答器電路設(shè)計(jì)的正確性。操作步驟:(1)空格鍵控制搶答開始信號(hào);(

33、2)選擇搶答信號(hào),按鍵AH分別控制8位選手的搶答信號(hào)。操作結(jié)果:1. 按下空格鍵開始搶答,數(shù)碼管U8、U6顯示搶答時(shí)間;2. 若24s內(nèi)有選手搶答,數(shù)碼管U5顯示搶答者編號(hào);3. 若超過(guò)24s沒(méi)有選手搶答,蜂鳴器U12發(fā)出報(bào)警聲音,同時(shí)紅色LED點(diǎn)亮。4.1搶答電路仿真按照設(shè)計(jì)的電路圖,在Multisim軟件上相應(yīng)選擇對(duì)應(yīng)的芯片和數(shù)碼顯示管并按照電路圖連接電路后,假設(shè)5號(hào)選手為優(yōu)先搶答者,將代表5號(hào)選手的開關(guān)按鈕接低電平并保持其他開關(guān)按鈕處于高電平,即可得到如圖4-1所示仿真圖。圖4-1搶答電路仿真4.2定時(shí)電路仿真同樣首先按照電路設(shè)計(jì)圖在Multisim軟件上選擇74LS192和四輸入端數(shù)碼

34、顯示管,按照前面設(shè)計(jì)出的定時(shí)電路連接電路,并將各控制端接能使定時(shí)電路正常進(jìn)行遞減計(jì)時(shí)的電平信號(hào)。開始仿真后,可以看到數(shù)碼顯示管上開始遞減計(jì)時(shí),通過(guò)改變其置數(shù)端信號(hào)即可控制不同的遞減計(jì)時(shí)。仿真電路如圖,當(dāng)LOAD置1,時(shí)鐘脈沖由UP端輸入,當(dāng)DOWN輸入高電平時(shí)74LS192處于加計(jì)數(shù)狀態(tài),經(jīng)非門U5A,可逆計(jì)數(shù)器的CLR端輸入低電平,計(jì)數(shù)器從00做加計(jì)數(shù)(f=1s)。進(jìn)位端CO與另一74LS192時(shí)鐘脈沖UP端連接,計(jì)數(shù)滿十后輸出進(jìn)位脈沖給另一74LS192進(jìn)行進(jìn)位計(jì)數(shù)。如圖4-2即為能產(chǎn)生60秒計(jì)時(shí)的定時(shí)電路。圖4-2定時(shí)電路仿真4.3報(bào)警電路仿真按照秒脈沖設(shè)計(jì)電路在Multisim軟件上選

35、擇相應(yīng)元件連接電路,并將連接好的脈沖產(chǎn)生電路連接到軟件提供的虛擬示波器上以進(jìn)行秒脈沖電路的仿真。連接電路如圖4-3:圖4-3秒脈沖電路仿真圖4-4秒脈沖電路仿真輸出波形上圖分別是秒脈沖產(chǎn)生電路的仿真圖(圖4-3),以及仿真時(shí)示波器上顯示的秒脈沖圖(圖4-4),按照電路可以產(chǎn)生如上圖所示波形,即可以實(shí)現(xiàn)預(yù)期功能。4.4系統(tǒng)故障的分析4.1.1搶答鎖存顯示電路故障開路輸入信號(hào)A的上拉電阻開路,如圖4-5。輸入信號(hào)A的上拉電阻是排阻R11的9號(hào)管腳,將其開路。分析電路可知,當(dāng)R11的9號(hào)管腳斷開,則74LS148的D0一直保持在低電平,相當(dāng)電路啟動(dòng)后信號(hào)A馬上拉低,故搶答者編號(hào)始終為數(shù)字1,搶答時(shí)間

36、為00。74LS148 D0管腳的電壓如圖4-6、4-7.圖4-7. 信號(hào)A的上拉電阻開路時(shí)74LS148的D0管腳電壓測(cè)量圖4-5. 輸入信號(hào)A的上拉電阻開路 圖4-6.輸入信號(hào)A的上拉電阻開路的仿真結(jié)果 4.1.2定時(shí)顯示報(bào)警電路故障短路令三極管2N2222的基極B和發(fā)射極E短路,則當(dāng)搶答時(shí)間達(dá)到24s時(shí),由于三極管始終不能導(dǎo)通,SC4的Buzzer_A 和Buzzer_B引腳的電壓接近0,故蜂鳴器不會(huì)報(bào)警,LED燈也不會(huì)亮,如圖4-8所示。圖4-8. 三極管2N2222的B和E短路,及24s后SC4的Buzzer_A與Buzzer_B間的電壓4.1.3時(shí)鐘信號(hào)產(chǎn)生電路故障開路令1KHz時(shí)

37、鐘電路555計(jì)時(shí)器的Vcc開路,則無(wú)法產(chǎn)生1KHz的時(shí)鐘脈沖,計(jì)時(shí)數(shù)碼管顯示時(shí)間為00,但搶答顯示電路仍舊可以正常工作。如圖4-9所示,搶答開始后2號(hào)選手搶答成功,但搶答時(shí)間始終為00。圖4-10為輸出信號(hào),可以看出輸出為0.圖4-9. 555定時(shí)器Vcc開路,2號(hào)選手搶答成功,但搶答時(shí)間為0圖4-10. 555定時(shí)器Vcc開路時(shí)的時(shí)鐘輸出為0五、總結(jié)本文在正文部分首先簡(jiǎn)單介紹了數(shù)字搶答器的相關(guān)知識(shí)背景,接著介紹了Multisim的發(fā)展歷史以及Multisim10的功能特點(diǎn),闡明了數(shù)字搶答器設(shè)計(jì)的實(shí)際意義,明確了設(shè)計(jì)理論基礎(chǔ)和方法。經(jīng)總結(jié),整個(gè)電路的設(shè)計(jì)過(guò)程中的瓶頸是各個(gè)單元電路的連接及細(xì)節(jié)設(shè)

38、計(jì),在多種方案的選擇中,我們從系統(tǒng)的功能、原理、整體結(jié)構(gòu)、設(shè)計(jì)方法、注意事項(xiàng)等方面對(duì)搶答電路系統(tǒng)做了一定的分析研究,仔細(xì)比較和分析各方案的原理以及可行性,最后經(jīng)過(guò)多次對(duì)電路的改進(jìn)、上機(jī)仿真以及調(diào)試,使整個(gè)電路可穩(wěn)定工作。由于我本人學(xué)習(xí)設(shè)計(jì)方面存在的不足,在實(shí)驗(yàn)中也遇到很多問(wèn)題,例如:搶答鎖存顯示電路出現(xiàn)故障、定時(shí)顯示報(bào)警電路出現(xiàn)故障、時(shí)鐘信號(hào)產(chǎn)生電路出現(xiàn)故障等,但我沒(méi)有放棄,而是細(xì)心地查找錯(cuò)誤,從原理分析做起,再分析各部分的組成與結(jié)構(gòu),最終找到解決問(wèn)題的辦法。實(shí)驗(yàn)中,一些元器件以前沒(méi)有用過(guò),我通過(guò)虛心請(qǐng)教他人,以及上網(wǎng)查找相關(guān)資料,最終也掌握了這些元器件的使用方法。這次EDA課程設(shè)計(jì)不僅提高了

39、我應(yīng)用Multisim 的能力,更重要的是我對(duì)設(shè)計(jì)電路產(chǎn)生了濃厚的興趣。雖然設(shè)計(jì)的過(guò)程會(huì)遇到問(wèn)題,有些問(wèn)題還會(huì)讓人焦急得抓狂,但是看到最后設(shè)計(jì)的電路完美地實(shí)現(xiàn)預(yù)期功能,心中的興奮之情也是無(wú)法言語(yǔ)的。多路搶答器作為一種基礎(chǔ)的設(shè)備,可以擴(kuò)展它本身的功能,在不同領(lǐng)域發(fā)揮不同的作用。它的工作原理與現(xiàn)在市場(chǎng)上的設(shè)備是類似的,例如:道路違章自動(dòng)檢測(cè)、醫(yī)院患者呼救系統(tǒng)等。本次設(shè)計(jì)采用的是數(shù)字電路設(shè)計(jì),使用邏輯功能芯片進(jìn)行直接電路連接,從而實(shí)現(xiàn)不同的邏輯功能。但是隨著隨著社會(huì)科技水平的不斷提高,搶答器早就完成了電路全電子化的設(shè)計(jì)與制作,并且電子化電路都向著大規(guī)模集成電路的方向發(fā)展。因此,在后期的設(shè)計(jì)中可以利用

40、單片機(jī)等工具制作搶答器。目前搶答器的用途較為狹窄,采用的單位也比較有限,因此可以再設(shè)計(jì)搶答器的時(shí)候增加一些附加的功能,例如:對(duì)每一個(gè)按鈕的搶答次數(shù)進(jìn)行統(tǒng)計(jì),實(shí)現(xiàn)每個(gè)按鈕的計(jì)分功能等,讓搶答器作為一個(gè)更大的系統(tǒng)中的一個(gè)核心設(shè)備,來(lái)適應(yīng)不同的場(chǎng)合。也可以以搶答器為基礎(chǔ)衍生周邊設(shè)備,在生活中,存在很多“搶答”的現(xiàn)象,例如紅綠燈壓線拍攝、娛樂(lè)設(shè)施的計(jì)分器等等,這些都和搶答器息息相關(guān),實(shí)現(xiàn)一些周邊產(chǎn)品的功能只需要對(duì)搶答器進(jìn)行少許的硬件改造即可完成。致謝時(shí)光如梭,大學(xué)四年的學(xué)習(xí)生涯即將結(jié)束,河北大學(xué)給我提供了學(xué)習(xí)的方向以及環(huán)境。在畢業(yè)論文即將完成之即,我要感謝敬愛的導(dǎo)師丁振君副教授,在他的悉心指導(dǎo)下我完成

41、了本次論文的撰寫。丁振君副教授在學(xué)術(shù)上有著嚴(yán)謹(jǐn)?shù)目蒲凶黠L(fēng),實(shí)事求是的治學(xué)態(tài)度,讓我受益匪淺。在生活上他平易近人,和藹可親,令我欽佩不已。他知識(shí)淵博、作風(fēng)嚴(yán)謹(jǐn)且具有高度的責(zé)任感以及忘我的工作熱情,是我在以后的生活中以及學(xué)習(xí)上的榜樣,他永遠(yuǎn)激勵(lì)我在以后的學(xué)習(xí)科研中開拓進(jìn)取、奮發(fā)向上。本次實(shí)驗(yàn)設(shè)計(jì)中,丁老師給了我最及時(shí)最有效的知道,是我克服種種困難,順利完成論文。在此,謹(jǐn)向我的導(dǎo)師表示最崇高的敬意和最衷心的感謝。最后對(duì)一直以來(lái)支持我的家人、朋友、同學(xué)表示感謝,感謝你們的得包容和理解。參考文獻(xiàn)1 陳松濤. 智能搶答器的研制J. 通信與廣播電視, 1996(4):61-64.2 沈曉波, 王留留, 苗磊

42、. 無(wú)線智能搶答器:, CN202795608UP. 2013.3 Xu N. The Design of Intelligent Responder Based on SCMJ. Applied Mechanics & Materials, 2014, 701-702:1214-1217.4 Kichline D. Rushing For AnswersJ. Rt Image, 2003(29).5 勁 唐, 峻 李, 羅啟培,等. 電腦搶答器: CN, CN 201845391 UP. 2011.6 殷蔚, 陳波. Multisim軟件在模擬電路中的仿真應(yīng)用J. 岳陽(yáng)職業(yè)技術(shù)學(xué)院學(xué)報(bào), 2

43、007, 22(3):86-90.7 Mckinley P K, Trefftz C. Multisim: a tool for the study of large-scale multiprocessorsC/ International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunications Networks. 1993:57-62.8 曹國(guó)清. 數(shù)字電路與邏輯設(shè)計(jì)M. 中國(guó)礦業(yè)大學(xué)出版社, 1998.9 程建峰. 基于 Multisim的多功能8路搶答器的設(shè)計(jì)與仿真J. 工業(yè)儀表

44、與自動(dòng)化裝置, 2015(1):31-34.10 郭變. 基于Multisim8的8路搶答器的設(shè)計(jì)與仿真J. 科學(xué)技術(shù)與工程, 2012, 12(10):2446-2449.11 陳莉. 基于Multisim的智力競(jìng)賽搶答器電路設(shè)計(jì)J. 電子技術(shù)與軟件工程, 2015(18):123-123.12 王革思, 楊大偉, 謝紅,等. 數(shù)字搶答器課程設(shè)計(jì)J. 信息技術(shù), 2012(9):118-120.13 清華大學(xué)編. 數(shù)字電子技術(shù)基礎(chǔ)簡(jiǎn)明教程M. 高等教育出版社, 1985.14 普端蒂克. 數(shù)字電路原理與設(shè)計(jì)實(shí)例解析 = Digital electronics guidebook with projectsM. 電子工業(yè)出版社, 2004.15 尹勇, 李林凌. Multisim電路仿真入門與進(jìn)階M. 科學(xué)出版社, 2005.

展開閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!