九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

2019華工 數(shù)字電子 隨堂練習(xí)

上傳人:沈*** 文檔編號(hào):83439207 上傳時(shí)間:2022-05-01 格式:DOC 頁數(shù):29 大?。?.29MB
收藏 版權(quán)申訴 舉報(bào) 下載
2019華工 數(shù)字電子 隨堂練習(xí)_第1頁
第1頁 / 共29頁
2019華工 數(shù)字電子 隨堂練習(xí)_第2頁
第2頁 / 共29頁
2019華工 數(shù)字電子 隨堂練習(xí)_第3頁
第3頁 / 共29頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《2019華工 數(shù)字電子 隨堂練習(xí)》由會(huì)員分享,可在線閱讀,更多相關(guān)《2019華工 數(shù)字電子 隨堂練習(xí)(29頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。

1、word第一章數(shù)制和碼制1.(單項(xiàng)選擇題) 為了給800份文件順序編碼,如果采用十六進(jìn)制代碼,最少需要 位。 A. 1 B. 2 C. 3 D. 4答題:A.B.C.D.已提交參考答案:C問題解析:2.(單項(xiàng)選擇題) 與二進(jìn)制數(shù)等值的十進(jìn)制數(shù)為 。A. 9.21 B. 9.3125 C. 9.05 D. 9.5答題:A.B.C.D.已提交參考答案:B問題解析:3.(單項(xiàng)選擇題) 與二進(jìn)制數(shù)等值的十六進(jìn)制數(shù)為 。A. B0.C3 B. B0.CC C. 2C.C3 D. 2C.CC答題:A.B.C.D.已提交參考答案:D問題解析:4.(單項(xiàng)選擇題) 為了給800份文件順序編碼,如果采用二進(jìn)制代碼

2、,最少需要 位。 A. 9 B. 10 C. 11 D. 12答題:A.B.C.D.已提交參考答案:B問題解析:5.(單項(xiàng)選擇題) 與二進(jìn)制數(shù)等值的十進(jìn)制數(shù)為 。A. 6.11 B. 6.21 C. 6.625 D. 6.5答題:A.B.C.D.已提交參考答案:C問題解析:6.(單項(xiàng)選擇題) 與二進(jìn)制數(shù)等值的八進(jìn)制數(shù)為 。A. 6.44 B. 6.41 C. 3.44 D. 3.41答題:A.B.C.D.已提交參考答案:A問題解析:7.(單項(xiàng)選擇題) 把十進(jìn)制數(shù)103表示成十六進(jìn)制數(shù)為 ( )。 A63 B67 C68 D6A答題:A.B.C.D.已提交參考答案:B問題解析:8.(單項(xiàng)選擇題)

3、 十進(jìn)制數(shù)-3用8位二進(jìn)制補(bǔ)碼表示,寫成十六進(jìn)制數(shù)為 ( ) 。 A83 BFC CFD D03答題:A.B.C.D.已提交參考答案:C問題解析:9.(單項(xiàng)選擇題) 用8421BCD碼表示十進(jìn)制數(shù)51,如此相應(yīng)的二進(jìn)制代碼為 ( ) 。 A01010001 B101001 C110011 D00110011答題:A.B.C.D.已提交參考答案:A問題解析:10.(單項(xiàng)選擇題) 與十進(jìn)制數(shù)136對應(yīng)的十六進(jìn)制數(shù)為 ( ) 。 A86 B87 C88 D8A答題:A.B.C.D.已提交參考答案:C問題解析11.(單項(xiàng)選擇題) 十進(jìn)制數(shù)-1用8位二進(jìn)制補(bǔ)碼表示,寫成十六進(jìn)制數(shù)為 ( ) 。 AFF

4、BFE C81 D01答題:A.B.C.D.已提交參考答案:A問題解析:12.(單項(xiàng)選擇題) 用8421BCD碼表示十進(jìn)制數(shù)52,如此相應(yīng)的二進(jìn)制代碼為 ( ) 。 A101010 B01010010 C110100 D00110100答題:A.B.C.D.已提交參考答案:B問題解析:13.(單項(xiàng)選擇題)的原碼、反碼、補(bǔ)碼分別是 。A11011、00100、00101 B11011、10100、10101C01011、00100、00101 D01011、10100、10101答題:A.B.C.D.已提交參考答案:B問題解析:14.(單項(xiàng)選擇題) 采用二進(jìn)制補(bǔ)碼運(yùn)算,(-1011-1001)的

5、運(yùn)算結(jié)果,其補(bǔ)碼、原碼分別為 。 A101100 010100 B001100 110100 C001100 0110100 D101100 110100答題:A.B.C.D.已提交參考答案:D問題解析:15.(單項(xiàng)選擇題) 5421BCD碼中表示十進(jìn)制數(shù)9的編碼為 。 A1010 B1001 C1100 D1101答題:A.B.C.D.已提交參考答案:C問題解析:16.(單項(xiàng)選擇題) 8421BCD碼中表示十進(jìn)制數(shù)9的編碼為 。 A1010 B1001 C1100 D1101答題:A.B.C.D.已提交參考答案:B問題解析:17.(單項(xiàng)選擇題) 十進(jìn)制數(shù)27假如用余3BCD碼表示,可寫成 。

6、 A0 1 0 1 1 0 1 0 B0 0 1 0 0 1 1 1 C0 0 1 0 1 0 1 0 D0 1 0 1 0 1 1 1答題:A.B.C.D.已提交參考答案:A問題解析:第二章邏輯代數(shù)根底隨堂練習(xí)提交截止時(shí)間:2019-06-15 23:59:59當(dāng)前頁有10題,你已做10題,已提交10題,其中答對2題。1.(單項(xiàng)選擇題) 將函數(shù)式化成最小項(xiàng)之和的形式為 。答題:A.B.C.D.已提交參考答案:D問題解析:2.(單項(xiàng)選擇題) 函數(shù)的反函數(shù)為 。答題:A.B.C.D.已提交參考答案:B問題解析:3.(單項(xiàng)選擇題) 將函數(shù)式化成最小項(xiàng)之和的形式為 。答題:A.B.C.D.已提交參考

7、答案:A問題解析:4.(單項(xiàng)選擇題) 函數(shù)的反函數(shù)為 。答題:A.B.C.D.已提交參考答案:B問題解析:5.(單項(xiàng)選擇題) 函數(shù)的卡諾圖如圖2-1所示, 如此其最簡與或表達(dá)式為 。答題:A.B.C.D.已提交參考答案:A問題解析:6.(單項(xiàng)選擇題) 某電路當(dāng)輸入端A或B任意一個(gè)為高電平時(shí),輸出Y為高電平,當(dāng)A和B均為低電平時(shí)輸出為低電平,如此輸出Y與輸入A、B之間的邏輯關(guān)系為Y 。答題:A.B.C.D.已提交參考答案:B問題解析:7.(單項(xiàng)選擇題) 全體最小項(xiàng)之和為 。答題:A.B.C.D.已提交參考答案:C問題解析:8.(單項(xiàng)選擇題) 以下與邏輯表達(dá)式相等的式子是 。答題:A.B.C.D.

8、已提交參考答案:D問題解析:9.(單項(xiàng)選擇題) 和與非-與非邏輯表達(dá)式相等的式子是 。答題:A.B.C.D.已提交參考答案:C問題解析:10.(單項(xiàng)選擇題) 由一個(gè)三極管和假如干電阻元件可以構(gòu)成如下門電路中的 。 A與門 B或門 C非門 D與或非門答題:A.B.C.D.已提交參考答案:C問題解析:11.(單項(xiàng)選擇題) 某電路當(dāng)輸入端A或B任意一個(gè)為低電平時(shí),輸出Y為低電平,當(dāng)A和B均為高電平時(shí)輸出為高電平,如此輸出Y與輸入A、B之間的邏輯關(guān)系為Y 。答題:A.B.C.D.已提交參考答案:A問題解析:12.(單項(xiàng)選擇題) 任何兩個(gè)最小項(xiàng)的乘積為 。答題:A.B.C.D.已提交參考答案:A問題解析

9、:13.(單項(xiàng)選擇題) 以下與邏輯表達(dá)式相等的式子是 。答題:A.B.C.D.已提交參考答案:D問題解析:14.(單項(xiàng)選擇題) 以下和與非-與非邏輯表達(dá)式相等的式子是 。答題:A.B.C.D.已提交參考答案:B問題解析:15.(單項(xiàng)選擇題) 僅由二極管和電阻元件可以構(gòu)成如下門電路中的 。 A與非門 B或非門 C異或門 D或門答題:A.B.C.D.已提交參考答案:D問題解析:16.(單項(xiàng)選擇題) 16、函數(shù)的卡諾圖如圖2-2所示,其最簡“與或表達(dá)式為 。答題:A.B.C.D.已提交參考答案:C問題解析:17.(單項(xiàng)選擇題) 函數(shù)式的對偶式為 答題:A.B.C.D.已提交參考答案:B問題解析:18

10、.(單項(xiàng)選擇題) 函數(shù)轉(zhuǎn)換成與非與非表達(dá)式為 。答題:A.B.C.D.已提交參考答案:C問題解析:19.(單項(xiàng)選擇題) 函數(shù)轉(zhuǎn)換成或非或非式為 。答題:A.B.C.D.已提交參考答案:B問題解析:20.(單項(xiàng)選擇題) 某邏輯電路的狀態(tài)表如圖2-3所示,其輸入變量為A,B,C,輸出為F,如此F的邏輯式為 。答題:A.B.C.D.已提交參考答案:C問題解析:第三章門電路隨堂練習(xí)提交截止時(shí)間:2019-06-15 23:59:59當(dāng)前頁有10題,你已做10題,已提交10題,其中答對5題。1.(單項(xiàng)選擇題)答題:A.B.C.D.已提交參考答案:D問題解析:2.(單項(xiàng)選擇題) 圖3-2中由74系列TTL

11、或非門組成的電路中,門G輸出高電平/低電平時(shí)流出其輸出端的電流分別為 ?;蚍情T的輸入電流為答題:A.B.C.D.已提交參考答案:B問題解析:3.(單項(xiàng)選擇題) 圖3-3中由74系列TTL與非門組成的電路中,門G輸出高電平/低電平時(shí)流出其輸出端的電流分別為 。與非門的輸入電流為答題:A.B.C.D.已提交參考答案:C問題解析:4.(單項(xiàng)選擇題) 圖3-4中由74HC系列CMOS或非門組成的電路中,門G輸出高電平/低電平時(shí)流出其輸出端的電流分別為 ?;蚍情T的輸入電流為答題:A.B.C.D.已提交參考答案:B問題解析:5.(單項(xiàng)選擇題) 在TTL門電路中,以下能實(shí)現(xiàn)總線連接方式的是 。 A. 一般與

12、非門 B. OC門 C.三態(tài)門 D. 一般異或門答題:A.B.C.D.已提交參考答案:C問題解析:6.(單項(xiàng)選擇題) 如下說法正確的答案是 。 A雙極型數(shù)字集成門電路是以場效應(yīng)管為根本器件構(gòu)成的集成電路 BTTL邏輯門電路和CMOS集成門電路不能混合使用 CCMOS集成門電路集成度高,但功耗較高 DTTL邏輯門電路是以晶體管為根本器件構(gòu)成的集成電路答題:A.B.C.D.已提交參考答案:D問題解析:7.(單項(xiàng)選擇題) 圖3-5所示CMOS電路輸出F與輸入A、B、C之間的正確關(guān)系式為 。答題:A.B.C.D.已提交參考答案:B問題解析:8.(單項(xiàng)選擇題) TTL門電路組成的電路如圖3-6所示,以下

13、關(guān)于其輸出F的正確描述是 。答題:A.B.C.D.已提交參考答案:A問題解析:9.(單項(xiàng)選擇題) 圖3-7所示CMOS電路輸出F與輸入A、B、C之間的正確關(guān)系式為 。答題:A.B.C.D.已提交參考答案:B問題解析:10.(單項(xiàng)選擇題) TTL門電路組成的電路如圖3-8所示,以下關(guān)于其輸出F的正確描述是 。答題:A.B.C.D.已提交參考答案:A問題解析:11.(單項(xiàng)選擇題) 在CMOS門電路中,以下能實(shí)現(xiàn)“線與邏輯功能的是 。 A. 一般與非門 B. 三態(tài)門 C. OD門 D. 一般異或門答題:A.B.C.D.已提交參考答案:C問題解析:12.(單項(xiàng)選擇題) CMOS集成電路的電源電壓為5V

14、,如此其閾值電壓為 。 A 0V B 1.4V C 2.5V D 5V答題:A.B.C.D.已提交參考答案:C問題解析:13.(單項(xiàng)選擇題) 、當(dāng)TTL集成電路的輸出端輸出低電平時(shí),隨著輸出電流絕對值的增加,輸出低電平的變化趨勢是 。 A 增加 B 減小 C 不變 D 不能確定答題:A.B.C.D.已提交參考答案:A問題解析:14.(單項(xiàng)選擇題) 對于三態(tài)門,以下講法中正確的答案是 。 A需要外接上拉電阻 B可以實(shí)現(xiàn)“線與 C控制端有效時(shí)輸出高阻抗?fàn)顟B(tài) D控制端無效時(shí)輸出高阻抗?fàn)顟B(tài)答題:A.B.C.D.已提交參考答案:D問題解析:15.(單項(xiàng)選擇題) 對于TTL電路,以下應(yīng)該當(dāng)作低電平“0來看

15、待的電壓數(shù)值是 。 A 0.7V B 2.0V C 3.6V D 5.0V答題:A.B.C.D.已提交參考答案:A問題解析:16.(單項(xiàng)選擇題) 當(dāng)TTL集成電路的輸出端輸出高電平時(shí),隨著輸出電流絕對值的增加,輸出高電平的變化趨勢是 。 A 增加 B 減小 C 不變 D 不能確定答題:A.B.C.D.已提交參考答案:B問題解析:17.(單項(xiàng)選擇題) 對于OC門,以下講法中正確的答案是 。 A可以實(shí)現(xiàn)“線或 B可以實(shí)現(xiàn)“線與 C可以輸出高阻抗?fàn)顟B(tài) D具有控制端EN答題:A.B.C.D.已提交參考答案:B問題解析:18.(單項(xiàng)選擇題) 圖3-9a、b、c、d中74系列TTL門電路的輸出狀態(tài)為低電平

16、的是 。答題:A.B.C.D.已提交參考答案:C問題解析:19.(單項(xiàng)選擇題) 圖3-10a、b、c、d中74HC系列CMOS門電路的輸出狀態(tài)為低電平的是 。答題:A.B.C.D.已提交參考答案:A問題解析:20.(單項(xiàng)選擇題) TTL或非門多余的輸入端在使用時(shí) 。 A應(yīng)該接高電平1 B應(yīng)該接低電平0 C可以接高電平1也可以接低電平0 D可以與其它有用端并聯(lián)也可以懸空答題:A.B.C.D.已提交參考答案:B問題解析:21.(單項(xiàng)選擇題) CMOS與非門多余的輸入端在使用時(shí) 。 A應(yīng)該接高電平1 B可以接低電平0也可以接高電平1 C應(yīng)該接低電平0 D可以與其它有用端并聯(lián)也可以通過一個(gè)51W的電阻

17、接地答題:A.B.C.D.已提交參考答案:A問題解析:22.(單項(xiàng)選擇題) 和CMOS電路相比, TTL電路最突出的優(yōu)勢在于 。 A可靠性高 B抗干擾能力強(qiáng) C速度快 D功耗低答題:A.B.C.D.已提交參考答案:C問題解析:23.(單項(xiàng)選擇題) 和TTL電路相比,CMOS電路最突出的優(yōu)勢在于 。 A可靠性高 B抗干擾能力強(qiáng) C速度快 D功耗低答題:A.B.C.D.已提交參考答案:D問題解析:24.(單項(xiàng)選擇題) 在TTL門電路中,能實(shí)現(xiàn)“線與邏輯功能的門為 。 A三態(tài)門 BOC門 C與非門 D異或門答題:A.B.C.D.已提交參考答案:B問題解析:25.(單項(xiàng)選擇題) 欲使漏極開路的CMOS

18、 門電路實(shí)現(xiàn)“線與,如此其輸出端應(yīng)該 。 A并聯(lián) B并聯(lián)且外接上拉電阻和電源 C外接上拉電阻和電源但不需并聯(lián) D無需并聯(lián)也無需外接上拉電阻和電源答題:A.B.C.D.已提交參考答案:B問題解析:26.(單項(xiàng)選擇題) 三態(tài)輸出的門電路其輸出端 。 A可以并聯(lián)且實(shí)現(xiàn)“線與 B不能并聯(lián)也不能實(shí)現(xiàn)“線與 C可以并聯(lián)但不能實(shí)現(xiàn)“線與 D無需并聯(lián)但可以實(shí)現(xiàn)“線與答題:A.B.C.D.已提交參考答案:C問題解析:27.(單項(xiàng)選擇題) 某集成電路芯片,查手冊知其最大輸出低電平,最大輸入低電平,最小輸出高電平,最小輸入高電平如此其低電平噪聲容限等于 。A0.4V B0.6V C0.3V D1.2V答題:A.B.

19、C.D.已提交參考答案:C問題解析:第四章組合邏輯電路隨堂練習(xí)提交截止時(shí)間:2019-06-15 23:59:59當(dāng)前頁有10題,你已做10題,已提交10題,其中答對8題。1.(單項(xiàng)選擇題) 組合邏輯電路中的競爭冒險(xiǎn)現(xiàn)象是由于 引起的。 A電路未達(dá)到最簡 B電路有多個(gè)輸出 C邏輯門類型不同 D電路中的時(shí)延答題:A.B.C.D.已提交參考答案:D問題解析:2.(單項(xiàng)選擇題) 在如下邏輯電路中,不是時(shí)序邏輯電路的有 。 A存放器 B計(jì)數(shù)器 C觸發(fā)器 D譯碼器答題:A.B.C.D.已提交參考答案:D問題解析:3.(單項(xiàng)選擇題) 一個(gè)16選1的數(shù)據(jù)選擇器,其地址輸入端的個(gè)數(shù)應(yīng)為 。 A 1 B2 C4

20、 D16答題:A.B.C.D.已提交參考答案:C問題解析:4.(單項(xiàng)選擇題) 3位二進(jìn)制編碼器的輸入信號(hào)和輸出信號(hào)分別為。 A. 輸入8個(gè)信號(hào),輸出3位二進(jìn)制代碼 B. 輸入3個(gè)信號(hào),輸出3位二進(jìn)制代碼 C. 輸入8個(gè)信號(hào),輸出8位二進(jìn)制代碼 D. 輸入3個(gè)信號(hào),輸出8位二進(jìn)制代碼答題:A.B.C.D.已提交參考答案:A問題解析:5.(單項(xiàng)選擇題) LED數(shù)碼管與LCD液晶顯示相比,以下講法中正確的答案是 。 A. LCD功耗大 B. LCD亮度高 C. LCD價(jià)格廉價(jià) D. LCD壽命短答題:A.B.C.D.已提交參考答案:D問題解析:6.(單項(xiàng)選擇題) 七段顯示譯碼器的輸入信號(hào)和輸出信號(hào)分

21、別為 。 A. 輸入10個(gè)信號(hào),輸出7個(gè)控制信號(hào) B. 輸入BCD碼,輸出10個(gè)控制信號(hào) C. 輸入7個(gè)信號(hào),輸出7個(gè)控制信號(hào) D. 輸入BCD碼,輸出7個(gè)控制信號(hào)答題:A.B.C.D.已提交參考答案:D問題解析:7.(單項(xiàng)選擇題) 共陽極LED數(shù)碼管的控制方式為 。 A. 公共端接地,控制端加高電平 B. 公共端接電源,控制端加低電平 C. 公共端接電源,控制端加高電平 D. 公共端接地,控制端加低電平答題:A.B.C.D.已提交參考答案:B問題解析:8.(單項(xiàng)選擇題)8、圖4-1中Y的邏輯函數(shù)式為 。答題:A.B.C.D.已提交參考答案:D問題解析:9.(單項(xiàng)選擇題) 用3線-8線譯碼器7

22、4HC138設(shè)計(jì)的邏輯電路如圖4-2所示,74HC138的功能表如圖4-3所示。、如此輸出的函數(shù)式分別為 。答題:A.B.C.D.已提交參考答案:A問題解析:10.(單項(xiàng)選擇題) 用8選1數(shù)據(jù)選擇器74LS152設(shè)計(jì)的邏輯電路如圖4-4所示,74LS152的功能表如圖4-5所示。如此其輸出F的函數(shù)式為 。答題:A.B.C.D.已提交參考答案:C問題解析:第五章觸發(fā)器隨堂練習(xí)提交截止時(shí)間:2019-06-15 23:59:59當(dāng)前頁有9題,你已做9題,已提交9題,其中答對7題。1.(單項(xiàng)選擇題) JK觸發(fā)器的輸入信號(hào)為J0,K1,且觸發(fā)器的輸出初始狀態(tài)為Q,如此在時(shí)鐘脈沖的作用下該觸發(fā)器的輸出為

23、。答題:A.B.C.D.已提交參考答案:A問題解析:2.(單項(xiàng)選擇題) 電平觸發(fā)的SR觸發(fā)器同步RS觸發(fā)器的主要特點(diǎn)是 。 A. 直接控制 B. 時(shí)鐘電平控制 C. 時(shí)鐘邊沿控制 D. 可靠性高答題:A.B.C.D.已提交參考答案:B問題解析:3.(單項(xiàng)選擇題) JK觸發(fā)器的輸入信號(hào)為J1,K0,且觸發(fā)器的輸出初始狀態(tài)為Q,如此在時(shí)鐘脈沖的作用下該觸發(fā)器的輸出為 。答題:A.B.C.D.已提交參考答案:B問題解析:4.(單項(xiàng)選擇題) 邏輯電路如圖5-1所示,A=“1時(shí),脈沖來到后D觸發(fā)器 。A具有計(jì)數(shù)器功能 B置“0 C置“1 D保持原狀態(tài)答題:A.B.C.D.已提交參考答案:D問題解析:5.

24、(單項(xiàng)選擇題) 邏輯電路如圖5-2所示,當(dāng)A=“0,B=“1時(shí),CLK脈沖來到后D觸發(fā)器 。A具有計(jì)數(shù)功能 B保持原狀態(tài) C置“0 D置“1答題:A.B.C.D.已提交參考答案:A問題解析:6.(單項(xiàng)選擇題) 時(shí)鐘信號(hào)到來時(shí)觸發(fā)器輸出的狀態(tài)取決于 。 A. 輸入信號(hào) B電路的初始狀態(tài) C. 時(shí)鐘信號(hào) D輸入信號(hào)和電路的原始狀態(tài)答題:A.B.C.D.已提交參考答案:D問題解析:7.(單項(xiàng)選擇題) 假設(shè)JK觸發(fā)器的現(xiàn)態(tài)Q=0,要求次態(tài),如此應(yīng)使 。AJ=,K=0 BJ=0,K=CJ=1,K= DJ=K=1答題:A.B.C.D.已提交參考答案:B問題解析:8.(單項(xiàng)選擇題) T觸發(fā)器的功能是 。 A

25、翻轉(zhuǎn)、置“0 B保持、置“1 C置“1、置“0 D翻轉(zhuǎn)、保持答題:A.B.C.D.已提交參考答案:D問題解析:9.(單項(xiàng)選擇題) 設(shè)圖5-3所示電路的初態(tài),試問參加3個(gè)時(shí)鐘正脈沖后,電路的狀態(tài)將變?yōu)?。答題:A.B.C.D.已提交參考答案:B問題解析:第六章時(shí)序邏輯電路隨堂練習(xí)提交截止時(shí)間:2019-06-15 23:59:59當(dāng)前頁有5題,你已做5題,已提交5題,其中答對5題。1.(單項(xiàng)選擇題) 在如下邏輯電路中,不是組合邏輯電路的有 。 A譯碼器 B編碼器 C全加器 D存放器答題:A.B.C.D.已提交參考答案:D問題解析:2.(單項(xiàng)選擇題) 某同步時(shí)序邏輯電路,假如有7個(gè)有效循環(huán)狀態(tài),如

26、此至少含有 個(gè)觸發(fā)器。 A2 B3 C4 D5答題:A.B.C.D.已提交參考答案:B問題解析:3.(單項(xiàng)選擇題) 邏輯電路如圖6-1所示,該電路的功能為 。A不能自啟動(dòng)同步五進(jìn)制加法計(jì)數(shù)器 B可自啟動(dòng)異步五進(jìn)制加法計(jì)數(shù)器C不能自啟動(dòng)異步五進(jìn)制減法計(jì)數(shù)器 D可自啟動(dòng)同步五進(jìn)制減法計(jì)數(shù)器答題:A.B.C.D.已提交參考答案:D問題解析:4.(單項(xiàng)選擇題) 由同步十進(jìn)制計(jì)數(shù)器74LS160和門電路組成的計(jì)數(shù)器電路如圖6-2所示。74LS160的功能表和邏輯符號(hào)分別如圖6-3、圖6-4所示。該電路的功能為 。A8進(jìn)制減法計(jì)數(shù)器 B8進(jìn)制加法計(jì)數(shù)器C9進(jìn)制減法計(jì)數(shù)器 D9進(jìn)制加法計(jì)數(shù)器答題:A.B.C

27、.D.已提交參考答案:B問題解析:5.(單項(xiàng)選擇題) 由同步十六進(jìn)制計(jì)數(shù)器74LS161和門電路組成的計(jì)數(shù)器電路如圖6-5所示。74LS161的功能表和邏輯符號(hào)分別如圖6-6、圖6-7所示。該電路的功能為 。A11進(jìn)制加法計(jì)數(shù)器 B11進(jìn)制減法計(jì)數(shù)器C10進(jìn)制加法計(jì)數(shù)器 D10進(jìn)制減法計(jì)數(shù)器答題:A.B.C.D.已提交參考答案:A問題解析:第七章半導(dǎo)體存儲(chǔ)器隨堂練習(xí)提交截止時(shí)間:2019-06-15 23:59:59當(dāng)前頁有9題,你已做9題,已提交9題,其中答對7題。1.(單項(xiàng)選擇題) 快閃存儲(chǔ)器Flash Memory的主要用途是 。 A. 存儲(chǔ)變量 B. 存儲(chǔ)數(shù)據(jù) C. 存儲(chǔ)程序和變量 D

28、. 存儲(chǔ)程序和常量答題:A.B.C.D.已提交參考答案:D問題解析:2.(單項(xiàng)選擇題) 以下屬于組合邏輯電路的半導(dǎo)體存儲(chǔ)器是 。 A. ROM B. SRAM C. DRAM D. SDRAM答題:A.B.C.D.已提交參考答案:A問題解析:3.(單項(xiàng)選擇題) 從數(shù)據(jù)存儲(chǔ)特征來看,存放器相當(dāng)于只能存儲(chǔ)一組二進(jìn)制數(shù)據(jù)的 。 A. ROM B. Flash Memory C. SRAM D. DRAM答題:A.B.C.D.已提交參考答案:C問題解析:4.(單項(xiàng)選擇題) 假如存儲(chǔ)器容量為512K8位,如此地址代碼應(yīng)取 位。 A. 17 B. 18 C. 19 D. 20答題:A.B.C.D.已提交參

29、考答案:C問題解析:5.(單項(xiàng)選擇題) 快閃存儲(chǔ)器屬于 器件。 A掩模ROM B可擦寫ROM C動(dòng)態(tài)RAM D靜態(tài)RAM答題:A.B.C.D.已提交參考答案:B問題解析:6.(單項(xiàng)選擇題) 以下適于存放掉電不丟失但有時(shí)需要修改的固定參數(shù)的半導(dǎo)體存儲(chǔ)器是 。 A. PROM B. EEPROM C. SRAM D. DRAM答題:A.B.C.D.已提交參考答案:B問題解析:7.(單項(xiàng)選擇題) 數(shù)據(jù)通過 存儲(chǔ)在存儲(chǔ)器中。 A讀操作 B啟動(dòng)操作 C寫操作 D尋址操作答題:A.B.C.D.已提交參考答案:C問題解析:8.(單項(xiàng)選擇題) 如下說法不正確的答案是 。 A半導(dǎo)體存儲(chǔ)器的根本結(jié)構(gòu)都是由地址譯碼

30、器、存儲(chǔ)矩陣和讀寫控制電路三大局部構(gòu)成 BROM的主要特點(diǎn)是在工作電源下可以隨機(jī)地寫入或讀出數(shù)據(jù) C靜態(tài)RAM存儲(chǔ)單元的主體是由一對具有互為反應(yīng)的倒相器組成的雙穩(wěn)態(tài)電路 D動(dòng)態(tài)RAM存儲(chǔ)單元的結(jié)構(gòu)比靜態(tài)RAM存儲(chǔ)單元的結(jié)構(gòu)簡單答題:A.B.C.D.已提交參考答案:B問題解析:9.(單項(xiàng)選擇題) 一片256K4的ROM,它的存儲(chǔ)單元數(shù)和數(shù)據(jù)線數(shù)分別為 。答題:A.B.C.D.已提交參考答案:C問題解析:第八章可編程邏輯器件隨堂練習(xí)提交截止時(shí)間:2019-06-15 23:59:59當(dāng)前頁有7題,你已做7題,已提交7題,其中答對7題。1.(單項(xiàng)選擇題) 以下不能直接實(shí)現(xiàn)時(shí)序邏輯電路的器件是 。 A

31、. ROM B. 時(shí)序邏輯型PLA C. CPLD D. FPGA答題:A.B.C.D.已提交參考答案:A問題解析:2.(單項(xiàng)選擇題) 可編程邏輯器件的根本特征在于 。 A通用性強(qiáng) B其邏輯功能可以由用戶編程設(shè)定 C可靠性好 D集成度高答題:A.B.C.D.已提交參考答案:B問題解析:3.(單項(xiàng)選擇題) 通用陣列邏輯GAL器件的通用性,是指其輸出電路的工作模式,可通過對 進(jìn)展編程實(shí)現(xiàn)。 A輸出邏輯宏單元OLMC B與門陣列 C或門陣列 D與門陣列和或門陣列答題:A.B.C.D.已提交參考答案:A問題解析:4.(單項(xiàng)選擇題) PLD的開發(fā)需要有 的支持。A硬件和相應(yīng)的開發(fā)軟件 B 硬件和專用的編

32、程語言 C開發(fā)軟件 D專用的編程語言答題:A.B.C.D.已提交參考答案:A問題解析:5.(單項(xiàng)選擇題) PAL器件與陣列、或陣列的特點(diǎn)分別為 。 A.固定、可編程 B.可編程、可編程 C.固定、固定 D.可編程、固定答題:A.B.C.D.已提交參考答案:D問題解析:6.(單項(xiàng)選擇題) 產(chǎn)品研制過程中需要不斷修改的中、小規(guī)模邏輯電路中選用 最為適宜。 APAL BGAL CEPLD DFPGA答題:A.B.C.D.已提交參考答案:B問題解析:7.(單項(xiàng)選擇題) 圖8-1所示電路是PAL的一種異或輸出結(jié)構(gòu),其輸出Y的最小項(xiàng)之和表達(dá)式為 。答題:A.B.C.D.已提交參考答案:A問題解析:第十章脈

33、沖波形的產(chǎn)生和整形隨堂練習(xí)提交截止時(shí)間:2019-06-15 23:59:59當(dāng)前頁有6題,你已做6題,已提交6題,其中答對6題。1.(單項(xiàng)選擇題) 接通電源后能自動(dòng)產(chǎn)生矩形波脈沖信號(hào)的是 。 A施密特觸發(fā)器 B單穩(wěn)態(tài)觸發(fā)器 CT觸發(fā)器 D多諧振蕩器答題:A.B.C.D.已提交參考答案:D問題解析:2.(單項(xiàng)選擇題) 欲得到一個(gè)頻率高度穩(wěn)定的矩形波, 應(yīng)采用 。 A.計(jì)數(shù)器 B.單穩(wěn)態(tài)觸發(fā)器 C.石英晶體多諧振蕩器 D.施密特觸發(fā)器答題:A.B.C.D.已提交參考答案:C問題解析:3.(單項(xiàng)選擇題) 將三角波變換為矩形波,需選用 。 A單穩(wěn)態(tài)觸發(fā)器 B施密特觸發(fā)器 C微分電路 D雙穩(wěn)態(tài)觸發(fā)器答

34、題:A.B.C.D.已提交參考答案:B問題解析:4.(單項(xiàng)選擇題) 單穩(wěn)態(tài)觸發(fā)器輸出脈沖的寬度取決于 。 A觸發(fā)脈沖的寬度 B觸發(fā)脈沖的幅度 C電源電壓的數(shù)值 D電路本身的電阻、電容參數(shù)答題:A.B.C.D.已提交參考答案:D問題解析:5.(單項(xiàng)選擇題) 為了提高對稱式多諧振蕩器振蕩頻率的穩(wěn)定性,最有效的方法是 。 A提高電阻、電容的精度 B提高電源的穩(wěn)定度 C接入石英晶體 D保持環(huán)境溫度不變答題:A.B.C.D.已提交參考答案:C問題解析:6.(單項(xiàng)選擇題) 多諧振蕩器與單穩(wěn)態(tài)觸發(fā)器的區(qū)別之一是 。 A前者有2個(gè)穩(wěn)態(tài),后者只有1個(gè)穩(wěn)態(tài) B前者沒有穩(wěn)態(tài),后者有2個(gè)穩(wěn)態(tài) C前者沒有穩(wěn)態(tài),后者只有

35、1個(gè)穩(wěn)態(tài) D兩者均只有1個(gè)穩(wěn)態(tài),但后者的穩(wěn)態(tài)需要一定的外界信號(hào)維持答題:A.B.C.D.已提交參考答案:C問題解析:第十一章數(shù)模和模數(shù)轉(zhuǎn)換隨堂練習(xí)提交截止時(shí)間:2019-06-15 23:59:59當(dāng)前頁有10題,你已做10題,已提交10題,其中答對8題。1.(單項(xiàng)選擇題) 如果用數(shù)字系統(tǒng)通過控制直流電動(dòng)機(jī)的電樞電壓來控制轉(zhuǎn)速,如此需要用到以下電路中的 。 A. 電壓比擬器 B. 加法器 C. A/D轉(zhuǎn)換器 D. D/A轉(zhuǎn)換器答題:A.B.C.D.已提交參考答案:D問題解析:2.(單項(xiàng)選擇題) 權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器的電路本質(zhì)為 。 A由數(shù)字量控制的求和放大器 B 電壓比擬器 C 觸發(fā)器 D

36、編碼器答題:A.B.C.D.已提交參考答案:A問題解析:3.(單項(xiàng)選擇題) 假設(shè)單極性3位A/D轉(zhuǎn)換器的參考電壓為,采用均勻量化,即,如此當(dāng)輸入電壓時(shí)輸出數(shù)字量為 。A 001 B 010 C 011 D 100答題:A.B.C.D.已提交參考答案:B問題解析:4.(單項(xiàng)選擇題) 手機(jī)的錄音功能在錄音時(shí)需要用到以下電路中的 。 A. A/D轉(zhuǎn)換器 B. D/A轉(zhuǎn)換器 C. 施密特觸發(fā)電路 D. 單穩(wěn)態(tài)觸發(fā)電路答題:A.B.C.D.已提交參考答案:A問題解析:5.(單項(xiàng)選擇題) 以下各種A/D轉(zhuǎn)換器電路中轉(zhuǎn)換速度最快的是 。A并聯(lián)比擬型 B逐次漸近型 C雙積分型 D計(jì)數(shù)型答題:A.B.C.D.已

37、提交參考答案:A問題解析:6.(單項(xiàng)選擇題) 8位D/A轉(zhuǎn)換器當(dāng)輸入數(shù)字量只有最低位為1時(shí),輸出電壓為0.02V,假如輸入數(shù)字量為01001100時(shí),其輸出電壓為 。 A. 0.76V B. 3.04V C. 1.40V D. 1.52V答題:A.B.C.D.已提交參考答案:D問題解析:7.(單項(xiàng)選擇題) 以下ADC中轉(zhuǎn)換速度最慢的是 。 A并聯(lián)比擬型 B逐次漸近型 C計(jì)數(shù)型 D雙積分型答題:A.B.C.D.已提交參考答案:D問題解析:8.(單項(xiàng)選擇題) 某DAC的分辨率約為其滿量程的0.4%,如此它是一個(gè) 。 A. 8位轉(zhuǎn)換器 B. 10位轉(zhuǎn)換器 C. 12位轉(zhuǎn)換器 D. 16位轉(zhuǎn)換器答題:A.B.C.D.已提交參考答案:A問題解析:9.(單項(xiàng)選擇題) D/A轉(zhuǎn)換器的主要參數(shù)有 、轉(zhuǎn)換精度和轉(zhuǎn)換速度。 A分辨率 B輸入電阻 C輸出電阻 D參考電壓答題:A.B.C.D.已提交參考答案:A問題解析:10.(單項(xiàng)選擇題) 設(shè)8位單極性權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器的參考電壓,如此輸出電壓的非零最小值為 。A1mV B5mV C 19.53mV D50mV答題:A.B.C.D.已提交參考答案:C問題解析:29 / 29

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!