九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

八路搶答器全面版

上傳人:無(wú)*** 文檔編號(hào):85847926 上傳時(shí)間:2022-05-06 格式:DOC 頁(yè)數(shù):23 大?。?.11MB
收藏 版權(quán)申訴 舉報(bào) 下載
八路搶答器全面版_第1頁(yè)
第1頁(yè) / 共23頁(yè)
八路搶答器全面版_第2頁(yè)
第2頁(yè) / 共23頁(yè)
八路搶答器全面版_第3頁(yè)
第3頁(yè) / 共23頁(yè)

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《八路搶答器全面版》由會(huì)員分享,可在線閱讀,更多相關(guān)《八路搶答器全面版(23頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、word目錄前言2摘要3一、實(shí)驗(yàn)?zāi)康?二、設(shè)計(jì)要求與容4三、設(shè)計(jì)與原理43.1 總體方案設(shè)計(jì)43.1.1 設(shè)計(jì)思路43.1.2 總電路框圖53.2 各模塊設(shè)計(jì)方案與原理說(shuō)明53.2.1 搶答電路53.2.2 倒計(jì)時(shí)與報(bào)警電路12四、電路實(shí)驗(yàn)與調(diào)試 .14五、設(shè)計(jì)總結(jié)15六、課設(shè)感受16參考文獻(xiàn)17附錄1.元器件清單182.元件引腳圖193.總電路圖21前 言進(jìn)入21世紀(jì)越來(lái)越來(lái)多的電子產(chǎn)品出現(xiàn)在人們的日常生活中,例如企業(yè)、學(xué)校和電視臺(tái)等單位常舉辦各種智力競(jìng)賽, 搶答記分器是必要設(shè)備。過(guò)去在舉行的各種競(jìng)賽中我們經(jīng)??吹接袚尨鸬沫h(huán)節(jié),舉辦方多數(shù)采用讓選手通過(guò)舉答題板的方法判斷選手的答題權(quán),這在某種

2、程度上會(huì)因?yàn)橹鞒秩说闹饔^誤斷造成比賽的不公平性。人們于是開始尋求一種能不依人的主觀意愿來(lái)判斷的設(shè)備來(lái)規(guī)比賽。因此,為了克制這種現(xiàn)象的慣性發(fā)生人們利用各種資源和條件設(shè)計(jì)出很多的搶答器,從最初的簡(jiǎn)單搶答按鈕,到后來(lái)的顯示選手號(hào)的搶答器,再到現(xiàn)在的數(shù)顯搶答器,其功能在一天的趨于完善不但可以用來(lái)倒計(jì)時(shí)搶答,還兼具報(bào)警,計(jì)分顯示等等功能,有了這些更準(zhǔn)確地儀器使得我們的競(jìng)賽變得更加精彩紛呈,也使比賽更突顯其公平公正的原如此。今天隨著科技的不斷進(jìn)步搶答器的制作也更加追求精益求精,人們擺脫了消耗很多元件僅來(lái)實(shí)現(xiàn)用指示燈和一些電路來(lái)實(shí)現(xiàn)簡(jiǎn)單的搶答功能,使第一個(gè)搶答的參賽者的編號(hào)能通過(guò)指示燈顯示出來(lái),防止不合理的

3、現(xiàn)象發(fā)生。但這種電路不易于擴(kuò)展,而且當(dāng)有更高要酒無(wú)法實(shí)現(xiàn),例如參賽人數(shù)的增加。隨著數(shù)字電路的開展,數(shù)字搶答器誕生了,它易于擴(kuò)展,可靠性好,集成度高,而且費(fèi)用低,功能更加多樣話,是一種高效能的產(chǎn)品。而如今在市場(chǎng)上銷售的搶答器大多采用可編程邏輯元器件,或利用單片機(jī)技術(shù)進(jìn)展設(shè)計(jì),本次設(shè)計(jì)主要利用常見(jiàn)的74LS系列集成電路芯片、CD系列集成電路芯片和555芯片,并通過(guò)劃分功能模塊進(jìn)展各個(gè)局部的設(shè)計(jì),最后完成了八路智力競(jìng)賽搶答器的設(shè)計(jì)。摘 要介紹了數(shù)碼顯示八路搶答器電路的組成、設(shè)計(jì)與功能,電路采用74系列常用集成電路進(jìn)展設(shè)計(jì)。該搶答器除具有根本的搶答功能外,還具有定時(shí)、計(jì)時(shí)和報(bào)警功能。主持人通過(guò)時(shí)間預(yù)設(shè)

4、開關(guān)預(yù)設(shè)供搶答的時(shí)間,系統(tǒng)將完成自動(dòng)倒計(jì)時(shí)。假如在規(guī)定的時(shí)間有人搶答,如此計(jì)時(shí)將自動(dòng)停止;假如在規(guī)定的時(shí)間無(wú)人搶答,如此系統(tǒng)中的蜂鳴器將發(fā)響,提示主持人本輪搶答無(wú)效,實(shí)現(xiàn)報(bào)警功能,假如超過(guò)搶答時(shí)間如此搶答無(wú)效。該搶答器主要運(yùn)用到了編碼器,譯碼器和鎖存器:它采用74LS148來(lái)實(shí)現(xiàn)搶答器的選號(hào),采用74LS279芯片實(shí)現(xiàn)對(duì)的鎖存,采用74LS192實(shí)現(xiàn)十進(jìn)制的減法計(jì)數(shù),采用555芯片產(chǎn)生秒脈沖信號(hào)來(lái)共同實(shí)現(xiàn)倒計(jì)時(shí)功能,然后實(shí)現(xiàn)報(bào)警信號(hào)的輸出。通過(guò)課程設(shè)計(jì)提高和鞏固了所學(xué)的專業(yè)知識(shí),以與知識(shí)的綜合應(yīng)用和焊接技術(shù)。關(guān)鍵詞: 搶答器 編碼 譯碼 定時(shí) 報(bào)警八路數(shù)字搶答器一、實(shí)驗(yàn)?zāi)康耐ㄟ^(guò)八路數(shù)字搶答器的

5、設(shè)計(jì)實(shí)驗(yàn),要求學(xué)生回顧所學(xué)數(shù)字電子技術(shù)的根底理論和根底實(shí)驗(yàn),掌握組合電路、時(shí)序電路、編程器件和任意集成電路的綜合使用與設(shè)計(jì)方法,熟悉掌握優(yōu)先編碼器、觸發(fā)器、計(jì)數(shù)器、單脈沖觸發(fā)器、555電路、譯碼/驅(qū)動(dòng)電路的應(yīng)用方法,熟悉掌握時(shí)序電路的設(shè)計(jì)方法。達(dá)到數(shù)字實(shí)驗(yàn)課程大綱所要求掌握的根本容。二、設(shè)計(jì)要求與容在許多比賽活動(dòng)中,為了準(zhǔn)確、公正、直觀地判斷出第一搶答者,通常設(shè)置一臺(tái)搶答器,通過(guò)數(shù)顯、燈光或音響等多種手段指示出第一搶答者。1設(shè)計(jì)制作一個(gè)可容納8組參賽的數(shù)字式搶答器,每組設(shè)置一個(gè)搶答按鈕供搶答者使用。2電路具有第一搶答信號(hào)的鑒別和鎖存功能。在主持人系統(tǒng)發(fā)出搶答指令后,假如參賽者按搶答開關(guān),如此該

6、組指示燈亮并用組別顯示電路顯示出搶答者的組別,同時(shí)指示燈(發(fā)光二極管)亮。此時(shí),電路應(yīng)具備自鎖存功能,使別組的搶答開關(guān)不起作用。3假如超時(shí)仍無(wú)人搶答,如此報(bào)警指示燈熄滅。三、設(shè)計(jì)與原理3.1 總體方案設(shè)計(jì)3.1.1 設(shè)計(jì)思路此題的根本任務(wù)是準(zhǔn)確判斷出第一搶答者的信號(hào)并將其鎖存。實(shí)現(xiàn)這一功能可選擇使用觸發(fā)器或鎖存器等。在得到第一信號(hào)之后應(yīng)立即將電路的輸入封鎖,即使其他組的搶答信號(hào)無(wú)效。同時(shí)還必須注意,第一搶答信號(hào)應(yīng)該在主持人發(fā)出搶答命令之后才有效。當(dāng)電路形成第一搶答信號(hào)之后,用編碼、譯碼與數(shù)碼顯示電路顯示出搶答者的組別,也可以用發(fā)光二極管直接指示出組別。在主持人沒(méi)有按下開始搶答按鈕前,參賽者的搶

7、答開關(guān)無(wú)效;當(dāng)主持人按下開始搶答按鈕后,開始進(jìn)展30秒倒計(jì)時(shí),此時(shí),假如有組別搶答,顯示該組別并使搶答指示燈亮表示“已有人搶答;當(dāng)計(jì)時(shí)時(shí)間到,仍無(wú)組別搶答,如此計(jì)時(shí)指示燈滅表示“時(shí)間已到,主持人清零后開始新一輪搶答。、3.1.2 總電路框圖圖 3-1總電路框圖3.2 各模塊設(shè)計(jì)方案與原理說(shuō)明3.2.1 搶答電路此局部電路主要完成的功能是實(shí)現(xiàn)8路選手搶答并進(jìn)展鎖存,同時(shí)有相應(yīng)發(fā)光二極管點(diǎn)亮和數(shù)碼顯示。使用優(yōu)先編碼器 74LS148 和鎖存器 74LS297 來(lái)完成。該電路主要完成兩個(gè)功能:一是分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào)顯示電路采用七段數(shù)字?jǐn)?shù)碼顯示管;二

8、是禁止其他選手按鍵,其按鍵操作無(wú)效。工作過(guò)程:開關(guān)S 置于去除端時(shí),RS 觸發(fā)器的 R、S 端均為0,4 個(gè)觸發(fā)器輸出置0,使74LS148 的優(yōu)先編碼工作標(biāo)志端 0,使之處于工作狀態(tài)。當(dāng)開關(guān)S 置于開始時(shí),搶答器處于等待工作狀態(tài),當(dāng)有選手將搶答按鍵按下時(shí)如按下S5,74LS148 的輸出經(jīng)RS 鎖存后,CTR=1,RBO =1, 七段顯示電路74LS48 處于工作狀態(tài),4Q3Q2Q=101,經(jīng)譯碼顯示為“5。此外,CTR 1,使74LS148 優(yōu)先編碼工作標(biāo)志端,處于禁止?fàn)顟B(tài),封鎖其他按鍵的輸入。當(dāng)按鍵松開即按下時(shí),74LS148 的 此時(shí)由于仍為CTR1,使優(yōu)先編碼工作標(biāo)志端1, 5 所以

9、74LS148 仍處于禁止?fàn)顟B(tài),確保不會(huì)出二次按鍵時(shí)輸入信號(hào),保證了搶答者的優(yōu)先性。只要有一組選手先按下?lián)尨鹌鳎蜁?huì)將編碼器鎖死,不再對(duì)其他組進(jìn)展編碼。通過(guò)74LS48譯碼器使搶答組別數(shù)字顯示0-7。如有再次搶答需由主持人將S 開關(guān)重新置“去除然后再進(jìn)展下一輪搶答。原理圖如下:圖 3-2 搶答模塊原理圖RS觸發(fā)器:1.保持狀態(tài)。當(dāng)輸入端接入=1的電平時(shí),如果根本SR觸發(fā)器現(xiàn)態(tài)=1、=0,如此觸發(fā)器次態(tài)=1、=0;假如根本SR觸發(fā)器的現(xiàn)態(tài)=0、=1,如此觸發(fā)器次態(tài)=0、=1。即=1時(shí),觸發(fā)器保持原狀態(tài)不變。2.置0狀態(tài)。當(dāng)=1,=0時(shí),如果根本SR觸發(fā)器現(xiàn)態(tài)為=1、=0,因=0,會(huì)使=1,而=1

10、與=1共同作用使端翻轉(zhuǎn)為0;如果根本SR觸發(fā)器現(xiàn)態(tài)為=0、=1,同理會(huì)使=0,=1。只要輸入信號(hào)=1,=0,無(wú)論根本SR觸發(fā)器的輸出現(xiàn)態(tài)如何,均會(huì)使輸出次態(tài)置為0態(tài)。3.置1狀態(tài)。當(dāng)=0、=1時(shí),如果觸發(fā)器現(xiàn)態(tài)為=0、=1,因=0,會(huì)使G1的輸出端次態(tài)翻轉(zhuǎn)為1,而=1和=1共同使G2的輸出端=0;同理當(dāng)=1、=0,也會(huì)使觸發(fā)器的次態(tài)輸出為=1、=0;只要=0、=1,無(wú)論觸發(fā)器現(xiàn)態(tài)如何,均會(huì)將觸發(fā)器置1。&Q QG1G2 R SS R R S QQ R SQ置0置1保持4.不定狀態(tài)。當(dāng)=0時(shí),無(wú)論觸發(fā)器的原狀態(tài)如何,均會(huì)使=1,=1。當(dāng)脈沖去掉后,和同時(shí)恢復(fù)高電平后,觸發(fā)器的新?tīng)顟B(tài)要看G1和G2

11、兩個(gè)門翻轉(zhuǎn)速度快慢,所以稱=0是不定狀態(tài),在實(shí)際電路中要防止此狀態(tài)出現(xiàn)。根本RS觸發(fā)器的邏輯圖、邏輯符號(hào)和波形圖如圖1-7所示。(a) 邏輯圖 (b) 邏輯符號(hào) (c)波輸入輸出1XXXXXXXX11111011111111111100XXXXXXX0000010XXXXXX01001010XXXXX011010010XXXX0111011010XXX01111100010XX011111101010X01111111100100111111111101表 3-1 74LS148真值表4LS148的輸入端和輸出端低電平有效。是輸入信號(hào),為三位二進(jìn)制編碼輸出信號(hào),1時(shí),編碼器禁止編碼,當(dāng)0時(shí),允

12、許編碼。是技能輸出端,只有在0,而均無(wú)編碼輸入信號(hào)時(shí)為0。為優(yōu)先編碼輸出端,在0而的其中之一有信號(hào)時(shí),0。各輸入端的優(yōu)先順序?yàn)椋杭?jí)別最高,級(jí)別最低。如果0有信號(hào),如此其它輸入端即使有輸入信號(hào),均不起作用,此時(shí)輸出只按編碼,000。優(yōu)先編碼被廣泛用于計(jì)算機(jī)控制系統(tǒng)中,當(dāng)有多個(gè)外設(shè)申請(qǐng)中斷時(shí),優(yōu)先編碼器總是給優(yōu)先級(jí)別高的設(shè)備先編碼。 譯碼顯示電路CD4511是一個(gè)用于驅(qū)動(dòng)共陰極 LED 數(shù)碼管顯示器的 BCD 碼七段碼譯碼器,特點(diǎn)如下:具有BCD轉(zhuǎn)換、消隱和鎖存控制、七段譯碼與驅(qū)動(dòng)功能的CMOS電路能提供較大的拉電流??芍苯域?qū)動(dòng)LED顯示器。其功能介紹如下: BI:4腳是消隱輸入控制端,當(dāng)BI=0

13、 時(shí),不管其它輸入端狀態(tài)如何,七段數(shù)碼管均處于熄滅消隱狀態(tài),不顯示數(shù)字。LT:3腳是測(cè)試輸入端,當(dāng)BI=1,LT=0 時(shí),譯碼輸出全為1,不管輸入 DCBA 狀態(tài)如何,七段均發(fā)亮,顯示“8。它主要用來(lái)檢測(cè)數(shù)碼管是否損壞。 LE:鎖定控制端,當(dāng)LE=0時(shí),允許譯碼輸出。 LE=1時(shí)譯碼器是鎖定保持狀態(tài),譯碼器輸出被保持在LE=0時(shí)的數(shù)值。A1、A2、A3、A4、為8421BCD碼輸入端。 a、b、c、d、e、f、g:為譯碼輸出端,輸出為高電平1有效。 CD4511的部有上拉電阻,在輸入端與數(shù)碼管筆段端接上限流電阻就可工作。1. CD4511的引腳 CD4511具有鎖存、譯碼、消隱功能,通常以反相

14、器作輸出級(jí),通常用以驅(qū)動(dòng)LED.各引腳的名稱:其中7、1、2、6分別表示A、B、C、D;5、4、3分別表示LE、BI、LT;13、12、11、10、9、15、14分別表示 a、b、c、d、e、f、g。左邊的引腳表示輸入,右邊表示輸出,還有兩個(gè)引腳8、16分別表示的是VDD、VSS。2. CD4511的工作原理1. CD4511的工作真值表如表3-2 2. 鎖存功能 譯碼器的鎖存電路由傳輸門和反相器組成,傳輸門的導(dǎo)通或截止由控制端LE的電平狀態(tài)。 當(dāng)LE為“0電平導(dǎo)通,TG2截止;當(dāng)LE為“1電平時(shí),TG1截止,TG2導(dǎo)通,此時(shí)有鎖存作用。如圖3-33譯碼 CD4511譯碼用兩級(jí)或非門擔(dān)任,為了

15、簡(jiǎn)化線路,先用二輸入端與非門對(duì)輸入數(shù) 據(jù)B、C進(jìn)展組合,得出、四項(xiàng),然后將輸入的數(shù)據(jù)A、D一起用或非門譯碼。 4消隱 BI為消隱功能端,該端施加某一電平后,迫使B端輸出為低電平,字形消隱。輸 入輸 出LEBILIDCBAabcdefg顯示XX0XXXX11111118X01XXXX0000000消隱011000011111100011000101100001011001011011012011001111110013011010001100114011010110110115011011000111116011011111100007011100011111118011100111100119

16、01110100000000消隱01110110000000消隱01111000000000消隱01111010000000消隱01111100000000消隱0111111000000消隱111XXXX鎖 存鎖存表3-2 CD 4511的真值表。3.2.2 倒計(jì)時(shí)與報(bào)警電路原理圖如下:該局部主要由555 定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加減計(jì)數(shù)器74LS192 減法計(jì)數(shù)電路、74LS48 譯碼電路和 2 個(gè) 7 段數(shù)碼管即相關(guān)電路組成。完成的功能是當(dāng)主持人按下開始搶答按鈕后,進(jìn)展30s倒計(jì)時(shí),到0s時(shí)倒計(jì)時(shí)指示燈亮。當(dāng)有人搶答時(shí),計(jì)時(shí)停止。兩塊74LS192 實(shí)現(xiàn)減法計(jì)數(shù),通過(guò)譯碼電路 74

17、LS48 顯示到數(shù)碼管上,其時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。74LS192 的預(yù)置數(shù)控制端實(shí)現(xiàn)預(yù)置數(shù)30s,計(jì)數(shù)器的時(shí)鐘脈沖由秒脈沖電路提供。按鍵彈起后,計(jì)數(shù)器開始減法計(jì)數(shù)工作,并將時(shí)間顯示在共陰極七段數(shù)碼顯示管上,當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒(méi)有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí), 輸出低電平到時(shí)序控制電路,控制報(bào)警電路報(bào)警,同時(shí)以后選手搶答無(wú)效。 圖 3-6 555定時(shí)器連接由555定時(shí)器和三極管構(gòu)成報(bào)警電路。其中555構(gòu)成多諧振蕩器,振蕩頻率fo1.43RI2R2C,其輸出信號(hào)經(jīng)三極管推動(dòng)揚(yáng)聲器。PR為控制信號(hào),當(dāng)PR為高電平時(shí),多諧振蕩器工作,反之,電路停振??刂戚斎胄盘?hào)為經(jīng)過(guò)

18、編碼的搶答選手的信號(hào),當(dāng)有人在有效時(shí)間搶答時(shí),定時(shí)時(shí)間到時(shí)無(wú)人搶答時(shí),輸入信號(hào)為高電平,報(bào)警電路發(fā)出報(bào)警信號(hào);反之,輸入信號(hào)為低電平時(shí),報(bào)警器不工作。四、 電路實(shí)驗(yàn)與調(diào)試通過(guò)實(shí)驗(yàn)原理圖進(jìn)展實(shí)物焊接,焊接時(shí)能深刻體會(huì)到焊接工藝的重要性:各個(gè)芯片的引腳功能不能混淆,必須了解各個(gè)芯片的使用方法,部結(jié)構(gòu)以與使用時(shí)的須知事項(xiàng),該接電源的一定要接電源,該接地的一定要接地,且不能有懸空。同時(shí)在電路板上要預(yù)先確定電源的正負(fù)端,便于區(qū)分與焊接。正確焊接各芯片個(gè)管腳連接必須查閱各種資料并記錄,以確保在焊接過(guò)程和調(diào)試過(guò)程中芯片不被燒壞,同時(shí)確保整個(gè)電路的正確性。在焊接完后每塊芯片都用萬(wàn)用表檢測(cè),看是否有短接等,還有

19、焊接時(shí)要盡量使布線規(guī)清晰明了,這樣才有利于在調(diào)試過(guò)程中檢查電路。4.2 調(diào)試中出現(xiàn)的問(wèn)題與解決方法1 顯示電路不穩(wěn)定問(wèn)題在完成電路的焊接進(jìn)入調(diào)試階段時(shí)發(fā)現(xiàn)搶答器數(shù)碼管顯示選手編號(hào)不穩(wěn)定。主要表現(xiàn)在單項(xiàng)選擇手按下?lián)尨疰I后數(shù)碼管顯示的不是選手當(dāng)前。因此著手對(duì)電路進(jìn)食檢查,首先檢查數(shù)碼管看是否是關(guān)節(jié)焊接錯(cuò)誤,后又檢查電路各個(gè)芯片管腳接錯(cuò)均未發(fā)現(xiàn)問(wèn)題,最后發(fā)現(xiàn)當(dāng)觸動(dòng)某按鍵連線時(shí)顯示正常由此判斷可能是因?yàn)槌霈F(xiàn)了虛焊,遂將電路各焊點(diǎn)又仔細(xì)焊接了一遍,此時(shí)電路顯示正常。2 控制開關(guān)無(wú)法控制電路在調(diào)試是發(fā)現(xiàn)當(dāng)按下主持人開關(guān)時(shí)電路斷電,當(dāng)松開后數(shù)碼管顯示始終為7,經(jīng)過(guò)一個(gè)多小時(shí)對(duì)電路用萬(wàn)用表逐個(gè)檢查,發(fā)現(xiàn)是開關(guān)

20、觸焊接錯(cuò)誤,通過(guò)改正焊接后電路能正常工作。3數(shù)碼管不能正常倒計(jì)時(shí)在進(jìn)入定時(shí)電路調(diào)試時(shí),發(fā)現(xiàn)數(shù)碼管不能正常倒計(jì)時(shí),出現(xiàn)亂碼。對(duì)這問(wèn)題我們檢查了芯片是否完好,電路界限是否正確均未發(fā)現(xiàn)問(wèn)題,后發(fā)現(xiàn)是由于在焊接時(shí)焊線有些地方出現(xiàn)局部短接,于是就將焊線重新理清,數(shù)碼管也能正常工作了。五、設(shè)計(jì)總結(jié)本次電子課程設(shè)計(jì)實(shí)習(xí)將理論與實(shí)踐有機(jī)地結(jié)合,既考查了同學(xué)對(duì)理論知識(shí)的掌握程度,由反映了實(shí)際動(dòng)手能力,更主要的是考查了同學(xué)對(duì)知識(shí)的綜合運(yùn)用以與創(chuàng)新設(shè)計(jì)思維能力,為今后的開展提供了一次良好的學(xué)習(xí)環(huán)境。針對(duì)課設(shè)題目八路搶答器,一開始還沒(méi)有頭緒,不會(huì)運(yùn)用所學(xué)知識(shí)進(jìn)展有效設(shè)計(jì),但通過(guò)上網(wǎng)查閱各種類似的設(shè)計(jì),去圖書館翻閱相關(guān)

21、設(shè)計(jì)書籍,查閱所提供的芯片功能,確定根本設(shè)計(jì)方案,又通過(guò)仿真驗(yàn)證試驗(yàn)方案的可實(shí)行性,雖說(shuō)比擬煩雜但卻對(duì)設(shè)計(jì)一個(gè)電路有了根本的經(jīng)驗(yàn)。同時(shí)也使自己認(rèn)識(shí)到:1、 設(shè)計(jì)思路是整個(gè)設(shè)計(jì)的靈魂拿下每個(gè)課題能有一個(gè)非常清晰的設(shè)計(jì)思路是至關(guān)重要的。只有對(duì)課題的充分理解,對(duì)各種器件的熟練掌握,勾畫出根本的設(shè)計(jì)圖是成功的關(guān)鍵,必須多花時(shí)間在設(shè)計(jì)上才能為后續(xù)工作提供更扎實(shí)的根底。翻閱各種資料,上網(wǎng)查詢填補(bǔ)所需知識(shí)的空白是必要的。2、 焊接制作必須精益求精焊接必須精益求精,一絲不茍,一點(diǎn)的過(guò)失都可能導(dǎo)致實(shí)驗(yàn)結(jié)果錯(cuò)誤,因此必須準(zhǔn)確無(wú)誤還要工整,這樣才能在調(diào)試中能比擬輕松進(jìn)展,也是整個(gè)電路可看性更好。3、 調(diào)試調(diào)試工作是

22、個(gè)精細(xì)工作。在調(diào)試過(guò)程中,有些問(wèn)題是芯片本身?yè)p壞引起的,也有些是因?yàn)楹附訂?wèn)題引起的等因此排查過(guò)程需要特別有耐心,通過(guò)對(duì)芯片功能的檢驗(yàn),對(duì)焊點(diǎn)的檢查最后檢查出問(wèn)題所在。當(dāng)最后解決問(wèn)題時(shí),電路的正確是非常振奮,也很有成就感和滿足感。4、 建議設(shè)計(jì)的作品主要是用74系列集成芯片來(lái)完成的,在焊接的過(guò)程中由于芯片的引腳過(guò)多,布線工作不是很方便。有時(shí)候還因?yàn)槟骋桓€沒(méi)有焊牢,造成電路的不穩(wěn)定,這些都是有待改良的。六、課設(shè)感受課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn),提出,分析和解決實(shí)際問(wèn)題,鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過(guò)程.隨著科學(xué)技術(shù)開展的日新日異,數(shù)電模電相結(jié)合的產(chǎn)品在

23、生活中可以說(shuō)得是無(wú)處不在。因此作為二十一世紀(jì)的大學(xué)來(lái)說(shuō)掌握這類產(chǎn)品的開發(fā)技術(shù)是十分重要的?;仡櫰鸫舜握n程設(shè)計(jì),至今我仍感慨頗多,確實(shí),從選題到定稿,從理論到實(shí)踐,在整整兩星期的日子里,可以說(shuō)得是苦多于甜,但是可以學(xué)到很多很多的的東西,同時(shí)不僅可以鞏固了以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書本上所沒(méi)有學(xué)到過(guò)的知識(shí)。通過(guò)這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,可以說(shuō)得是困難重重,這畢竟第一次做的,難免會(huì)遇到過(guò)各種各樣的問(wèn)題

24、,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠結(jié)實(shí),比如說(shuō)三極管PNP管腳不懂怎么放置,不懂分得二極管的正負(fù)極,對(duì)CD4511的原理掌握不扎實(shí)通過(guò)這次課程設(shè)計(jì)之后,一定把以前所學(xué)過(guò)的知識(shí)重新溫故。這次課程設(shè)計(jì)終于完成了,在設(shè)計(jì)中遇到了很多問(wèn)題,最后在馬志剛教師的辛勤指導(dǎo)下,終于游逆而解。同時(shí),在馬志剛教師的身上我學(xué)得到很多實(shí)用的知識(shí),在次我表示感!同時(shí),對(duì)給過(guò)我?guī)椭乃型瑢W(xué)和各位指導(dǎo)教師再次表示忠心的感! 參考文獻(xiàn)【1】馬雙寶.protel 99 SE原理圖與PCB設(shè)計(jì)。人民郵電?!?】康華光.電子技術(shù)根底數(shù)字局部第五版.高等教育.【3】康華光.電子技

25、術(shù)根底模擬局部第五版.高等教育.【4【5【6】保終.中國(guó)集成電路大全TTL集成電路.國(guó)防工業(yè)附錄一:元件清單序號(hào)類型數(shù)量174LS279RS鎖存器12CD45114線7段譯碼驅(qū)動(dòng)器3374LS1488線3線優(yōu)先編碼器1474LS192十進(jìn)制可逆計(jì)數(shù)器25CD4011四二輸入與非門16NE55517晶體管855018七段數(shù)碼管共陰極39單刀雙置觸發(fā)開關(guān)110發(fā)光二極管111揚(yáng)聲器112單刀單置輸入開關(guān)813電阻1003014電阻56K115電阻68K116117電阻46K118F 119電容10F1附錄二:74LS148的引腳圖和邏輯功能表附錄三: 74LS279的邏輯功能表和引腳圖附錄四: 74LS192的邏輯功能表和引腳圖74LS192芯片的引腳圖附錄五:NE555的引腳圖 共陰數(shù)碼管引腳圖 555定時(shí)器引腳圖附錄六:CD4511的引腳圖附錄七 總電路圖:方案一方案二課程設(shè)計(jì)評(píng) 語(yǔ)課程設(shè)計(jì)成 績(jī)指導(dǎo)教師簽字 年 月 日23 / 23

展開閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!