九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

EDA技術(shù)與VHDL實(shí)用教程

  • 資源ID:10237       資源大?。?span id="24d9guoke414" class="font-tahoma">8.51MB        全文頁(yè)數(shù):349頁(yè)
  • 資源格式: PPT        下載積分:7積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要7積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

EDA技術(shù)與VHDL實(shí)用教程

> 1 2 1 2 3 4 5 現(xiàn)代電子設(shè)計(jì)技術(shù)的核心就是 子設(shè)計(jì)自動(dòng)化, 術(shù)。利用子設(shè)計(jì)師可以方便地實(shí)現(xiàn) 子電路設(shè)計(jì)和 3 4 廣義的 除了狹義的 包括計(jì)算機(jī)輔助分析 如,印刷電路板計(jì)算機(jī)輔助設(shè)計(jì) 如。 在廣義的 此它并 不能稱為真正意義上的 5 狹義的 就是以大規(guī)??删幊踢壿嬈骷樵O(shè)計(jì)載體,以硬件描述語(yǔ)言為系統(tǒng)邏輯描述的主要表達(dá)方式,以計(jì)算機(jī)、大規(guī)??删幊踢壿嬈骷拈_發(fā)軟件及實(shí)驗(yàn)開發(fā)系統(tǒng)為設(shè)計(jì)開發(fā)工具的 本書討論的對(duì)象專指狹義的 個(gè)層次 6 ( 1) ( 2)利用 ( 3) 7 ( 1) 高層綜合與優(yōu)化的理論與方法取得了很大的進(jìn)展,其結(jié)果 大大縮短了復(fù)雜的 同時(shí)改進(jìn)了設(shè)計(jì)質(zhì)量; ( 2) 采用硬件描述語(yǔ)言來(lái)描述 10萬(wàn)門以上的設(shè)計(jì),形成了國(guó)際通用的 們均支持不同層次的描述,使得 復(fù)雜 于傳遞、交流、保存與修改,并可建立獨(dú)立的工藝設(shè)計(jì)文檔,便于設(shè)計(jì)重用 ; 8 ( 3)開放式的設(shè)計(jì)環(huán)境(各廠家均適合); ( 4) 自頂向下的算法; ( 5)豐富的元器件模塊庫(kù); ( 6)具有較好的人機(jī)對(duì)話界面與標(biāo)準(zhǔn)的 ( 7)建立并行設(shè)計(jì)工程框架結(jié)構(gòu)的集成化設(shè)計(jì)環(huán)境,以適應(yīng)當(dāng)今 模大而復(fù)雜,數(shù)字與模擬電路并存,硬件與軟件并存,產(chǎn)品上市更新快。 9 20世紀(jì) 70年代 計(jì)算機(jī)輔助設(shè)計(jì) 20世紀(jì) 80年代 計(jì)算機(jī)輔助工程設(shè)計(jì)階段 20世紀(jì) 90年代 電子系統(tǒng)設(shè)計(jì)自動(dòng)化階段 10 (一)硬件描述語(yǔ)言 硬件描述語(yǔ)言( 各種描述方法中最能體現(xiàn) 所謂硬件描述語(yǔ)言,實(shí)際就是一個(gè)描述工具,其描述的對(duì)象就是 待設(shè)計(jì)電路系統(tǒng)的邏輯功能、實(shí)現(xiàn)該功能的算法、選用的電路結(jié)構(gòu)以及其他各種約束條件 等。 通常要求 能描述系統(tǒng)的結(jié)構(gòu)。 11 目前主要有以下兩種 1 983年由 司首創(chuàng)的,主要用于數(shù)字系統(tǒng)的設(shè)計(jì)。 2 0世紀(jì) 80年代后期,出于軍事工業(yè)的需要開發(fā)的。 12 級(jí) 描述語(yǔ)言,適用于電路高級(jí)建模,綜合的效率和效果較好。 級(jí) 的描述語(yǔ)言,適用于描述門級(jí)電路, 容易控制電路資源,但其對(duì)系統(tǒng)的描述能力不如 13 (二)可編程邏輯器件 可編程邏輯器件(簡(jiǎn)稱 一種由用戶編程來(lái)實(shí)現(xiàn)某種邏輯功能的新型邏輯器件。 它不僅速度快、集成度高,能夠完成用戶定義的邏輯功能,還可以加密和重新定義編程,其允許編程次數(shù)可多達(dá)上萬(wàn)次。 使用可編程邏輯器件可大大簡(jiǎn)化硬件系統(tǒng)、降低成本、提高系統(tǒng)的可靠性、靈活性。 14 目前, 場(chǎng)可編程門陣列 )和 雜可編程邏輯器件 )兩大類。 速度和高可靠性。由于它們的明顯特點(diǎn),可以應(yīng)用于超高速領(lǐng)域和實(shí)時(shí)測(cè)控方面以及嵌入式領(lǐng)域等等。 15 (三) 目前在國(guó)內(nèi)比較流行的 件工具主要有 AX+和 、四家公司的 16 表 0 廠商 軟件適用器件系列 軟件支持的描述方式 AX+ 邏輯圖、波形圖、 邏輯圖、 邏輯圖、 邏輯圖、 邏輯圖、 17 手工設(shè)計(jì)方法的缺點(diǎn)是: 1)復(fù)雜電路的設(shè)計(jì) 、 調(diào)試十分困難 。 2)如果某一過(guò)程存在錯(cuò)誤 , 查找和修改十分不便 。 3)設(shè)計(jì)過(guò)程中產(chǎn)生大量文檔 ,不易管理 。 4)對(duì)于集成電路設(shè)計(jì)而言 , 設(shè)計(jì)實(shí)現(xiàn)過(guò)程與具體生產(chǎn)工藝直接相關(guān) , 因此可移植性差 。 5)只有在設(shè)計(jì)出樣機(jī)或生產(chǎn)出芯片后才能進(jìn)行實(shí)測(cè) 。 1)采用硬件描述語(yǔ)言作為設(shè)計(jì)輸入 。 2)庫(kù) (引入 。 3)設(shè)計(jì)文檔的管理 。 4)強(qiáng)大的系統(tǒng)建模 、 電路仿真功能 。 5)具有自主知識(shí)產(chǎn)權(quán) 。 6)開發(fā)技術(shù)的標(biāo)準(zhǔn)化 、 規(guī)范化以及 7)適用于高效率大規(guī)模系統(tǒng)設(shè)計(jì)的自頂向下設(shè)計(jì)方案 。 8)全方位地利用計(jì)算機(jī)自動(dòng)設(shè)計(jì) 、 仿真和測(cè)試技術(shù) 。 9)對(duì)設(shè)計(jì)者的硬件知識(shí)和硬件經(jīng)驗(yàn)要求低 。 10)高速性能好 。 11)純硬件系統(tǒng)的高可靠性 。 18 8位 波器階數(shù) 單位: 到相當(dāng)速度所需 單位: 162432 104 101 103 105 832 1616 2472 3360 19 個(gè)層次 1、邏輯行為的實(shí)現(xiàn) 2、控制與信號(hào)傳輸功能的實(shí)現(xiàn) 3、算法的實(shí)現(xiàn) 如:譯碼器、紅綠交通燈控制、表決器、顯示掃描器、電梯控制、乒乓球等電路的設(shè)計(jì),時(shí)鐘頻率一般低于 4如:各類信號(hào)發(fā)生器、 A/S/2通信、鐘頻率一般在 25 如:離散 字濾波器、浮點(diǎn)乘法器、高速寬位加法器、數(shù)字振蕩器、數(shù)字鎖相環(huán)、調(diào)制解調(diào)器、圖象 鐘頻率一般在 50 20 電子 漸在教學(xué)、科研、產(chǎn)品設(shè)計(jì)與制造等各方面都發(fā)揮著巨大的作用。 1 2 3 4 第 1章 > 21 要求 熟悉面向 知識(shí)點(diǎn) 理解可編程邏輯器件 掌握面向 掌握面向 理解硬件描述語(yǔ)言 重點(diǎn)和難點(diǎn) 軟件包 硬件描述語(yǔ)言 22 23 第 1章 可編程邏輯器件 24 可編程邏輯器件 一、可編程邏輯器件概述 (一) 雜可編程邏輯器件 場(chǎng)可編程門陣列 上至高性能的 至簡(jiǎn)單的 74系列電路,都可以用 程師可以通過(guò)傳統(tǒng)的原理圖輸入法或是硬件描述語(yǔ)言,自由的設(shè)計(jì)一個(gè)數(shù)字系統(tǒng)。 如何使用 數(shù)字電路基礎(chǔ),會(huì)使用計(jì)算機(jī),有一定編程基礎(chǔ)。 25 二、 可編程邏輯器件 ( P L D ) 簡(jiǎn)單 P L D 復(fù)雜 P L D P R O M P A L P L A G A L C P L D F P G A 26 27 三、 (一)基于乘積項(xiàng)( (二)乘積項(xiàng)結(jié)構(gòu) 邏輯實(shí)現(xiàn)原理 (三)查找表( 原理與結(jié)構(gòu) (四)查找表結(jié)構(gòu)的 28 與陣列(固定)或陣列(可編程)0例:用 29 30 查找表 31 接燒寫程序 掉電后程序丟失 ;理論上擦寫 100萬(wàn)次 以上;一般使用需要外掛 以達(dá)到幾百萬(wàn)門電路 。 比如 接燒寫程序 掉電后程序不會(huì)消失 ;一般可以擦寫 幾百次 ,并且一般宏單元在 512以下。(比如 000/7000/9000和 四、選擇 32 輸入的組合邏輯。 如果設(shè)計(jì)中使用到大量觸發(fā)器,那么使用 往都是幾千上萬(wàn), 12個(gè)邏輯單元,而且如果用芯片價(jià)格除以邏輯單元數(shù)量, 33 面向 硬 件 測(cè) 試測(cè) 試 電 路仿真器功 能 仿 真行 為 仿 真時(shí) 序 仿 真 仿 真功 能 仿 真編程、下載編 程 器 / 下 載 電 纜V H D L / V e r i l o 圖 、 S R A M 文件、自 動(dòng) 優(yōu) 化 、 布 局 、 布 線 / 適 配F P G A / C P L D 布 線 / 適 配 器( E D I F , X N F , V H D L )網(wǎng) 表 文 件程序邏 輯 綜 合 、 優(yōu) 化合器生成 程序圖 形 編 輯 器文 本 編 輯 器34 1源程序的編輯和編譯 常用的源程序輸入方式有三種: (1) 原理圖輸入方式: (2) 狀態(tài)圖輸入方式: (3) 35 利用 理圖輸入方式比較容易掌握,直觀且方便。 ( 1)原理圖輸入方式: 36 (2) 狀態(tài)圖輸入方式: 以圖形的方式表示狀態(tài)圖進(jìn)行輸入。當(dāng)填好時(shí)鐘信號(hào)名、狀態(tài)轉(zhuǎn)換條件、狀態(tài)機(jī)類型等要素后,就可以自動(dòng)生成 種設(shè)計(jì)方式簡(jiǎn)化了狀態(tài)機(jī)的設(shè)計(jì),比較流行。 (3) 最一般化、最具普遍性的輸入方法,任何支持 2邏輯綜合和優(yōu)化 邏輯綜合,就是將電路的高級(jí)語(yǔ)言描述轉(zhuǎn)換成低級(jí)的,可與 邏輯映射的過(guò)程,就是將電路的高級(jí)描述,針對(duì)給定硬件結(jié)構(gòu)組件,進(jìn)行編譯,優(yōu)化、轉(zhuǎn)換和綜合,最終獲得 門級(jí)電路甚至更底層的電路描述文件。 而網(wǎng)表文件就是按照某種規(guī)定描述電路的基本組成及如何相互連接的關(guān)系的文件。 37 3目標(biāo)器件的布線 /適配 所謂邏輯適配,就是將由綜合器產(chǎn)生的網(wǎng)表文件針對(duì)某一具體的目標(biāo)器件進(jìn)行邏輯映射操作。其中包括底層器件配置、邏輯分割、邏輯優(yōu)化、布線與操作等,配置于指定的目標(biāo)器件中,產(chǎn)生最終的下載文件,如 38 4目標(biāo)器件的編程 /下載 如果編譯、綜合、布線 /適配和行為仿真、功能仿真、時(shí)序仿真等過(guò)程都沒(méi)有發(fā)現(xiàn)問(wèn)題,即滿足原設(shè)計(jì)的要求,則可以將由 適配器產(chǎn)生的配置 /下載文件通過(guò)編程器或下載電纜載入目標(biāo)芯片 。 39 5設(shè)計(jì)過(guò)程中的有關(guān)仿真 設(shè)計(jì)過(guò)程中的仿真有三種,它們是: 行為仿真: 該仿真只是根據(jù) 具體電路沒(méi)有關(guān)系。 功能仿真: 就是將綜合后的 時(shí)序仿真: 該仿真已將器件特性考慮進(jìn)去,因此可以得到精確的時(shí)序仿真結(jié)果。 40 6硬件仿真 /硬件測(cè)試 所謂 硬件仿真 ,就是在 利用 過(guò)后再將其 一過(guò)程稱為硬件仿真。 所謂 硬件測(cè)試 ,就是針對(duì) 下載文件下載到 系統(tǒng)的設(shè)計(jì)進(jìn)行的功能檢測(cè),這一過(guò)程稱為硬件測(cè)試。 注意: ,固需要硬件仿真和測(cè)試。 41 二、 分析方法 基于 頂向下 進(jìn)行設(shè)計(jì)的。 即首先采用可完全獨(dú)立于目標(biāo)器件芯片物理結(jié)構(gòu)的硬件描述語(yǔ)言,在系統(tǒng)的基本功能或行為級(jí)上對(duì)設(shè)計(jì)的產(chǎn)品進(jìn)行描述和定義,結(jié)合多層次的仿真技術(shù),確保設(shè)計(jì)的可行性與正確性的前提下,完成功能確認(rèn)。 然后利用 功能描述轉(zhuǎn)換成某一具體目標(biāo)芯片的網(wǎng)表文件,輸出給該器件廠商的布局布線適配器,進(jìn)行邏輯映射及布局布線,再利用產(chǎn)生的仿真文件進(jìn)行包括功能和時(shí)序的驗(yàn)證,以確保實(shí)際系統(tǒng)的性能。 42 43 采用自頂向下的設(shè)計(jì)方法有如下優(yōu)點(diǎn) : (1) 自頂向下設(shè)計(jì)方法是一種模塊化設(shè)計(jì)方法。符合常規(guī)的邏輯思維習(xí)慣; (2) 高層設(shè)計(jì)同器件無(wú)關(guān),可以完全獨(dú)立于目標(biāo)器件的結(jié)構(gòu)。 (3) 采用硬件描述語(yǔ)言,設(shè)計(jì)易于在各種集成電路工藝或可編程器件之間移植。 (4) 適合多個(gè)設(shè)計(jì)者同時(shí)進(jìn)行設(shè)計(jì)。 三、表示方法 1、文本表示方式( 2、圖形表示方式(原理圖,狀態(tài)圖、波形圖) 3、文本、圖形混用方式 44 45 四、實(shí)現(xiàn)方法 1硬件描述語(yǔ)言編程實(shí)現(xiàn)法 2原理圖設(shè)計(jì)實(shí)現(xiàn)法 3參數(shù)可設(shè)置兆功能塊實(shí)現(xiàn)法 4軟的或硬的 46 面向 目前世界上有十幾家生產(chǎn) 大的三家是: 中 0%以上的市場(chǎng)份額。通常來(lái)說(shuō),在歐洲用 日本和亞太地區(qū)用 美國(guó)則是平分秋色。 可以講 于 內(nèi)很多人喜歡用 司I、 I,考慮到 以本書的 I。 47 設(shè)計(jì)流程圖 48 設(shè)計(jì)輸入文件類型 49 硬件描述語(yǔ)言 一 、 硬件描述語(yǔ)言 常用硬件描述語(yǔ)言有 面從使用方面將三者進(jìn)行對(duì)比。 1邏輯描述層次 2設(shè)計(jì)要求 3綜合過(guò)程 4對(duì)綜合器的要求 5支持的 6國(guó)際化程度 50 本章小結(jié) 概 述 可編程邏輯器件 面向 面向 硬件描述語(yǔ)言 第 2章 設(shè)計(jì)法入門 > 51 作者 : 蘇莉萍 陳東 廖超平 要求 掌握 I 器件編程 知識(shí)點(diǎn) 理解 理解 I 器件編程 重點(diǎn)和難點(diǎn) 52 作者 : 蘇莉萍 陳東 廖超平 第 2章 設(shè)計(jì)法入門 應(yīng)用數(shù)字邏輯電路的基本知識(shí),使用用 可以把原有的使用中小規(guī)模的通用數(shù)字集成電路設(shè)計(jì)的數(shù)字系統(tǒng)移埴到 面以一個(gè)一位數(shù)值比較器的設(shè)計(jì)為例說(shuō)明 一、建立工程文件夾 1新建一個(gè)文件夾作為工程項(xiàng)目目錄 首先在計(jì)算機(jī)中建立一個(gè)文件夾作為工程項(xiàng)目目錄,此工程目錄不能是根目錄,比如 D:,只能是根目錄下的目錄,比如D: 2建立工程項(xiàng)目 運(yùn)行 執(zhí)行 > 令 ,建立工程。如下圖示。 在圖 2 在所彈出的 寫 中第一、第二、第三個(gè)文本框分別是工程項(xiàng)目目錄、項(xiàng)目名稱和項(xiàng)目頂層設(shè)計(jì)實(shí)體的名稱。 按 現(xiàn)添加工程文件的對(duì)話框如圖 若原來(lái)已有文件,可選擇,這里直接按 擇 在 據(jù)需要選擇一種型號(hào)的 后在“ 中根據(jù)需要的 意在 顯示所有的器件型號(hào)。再點(diǎn)擊 現(xiàn)下面的對(duì)話框。 這是選擇其它 們使用 此不作任何改動(dòng)。按 1 建立原理圖文件 執(zhí)行 > 出新建文件對(duì)話框如圖。 二、編輯設(shè)計(jì)圖形文件 執(zhí)行 把它另存為文件名是 文件后綴為 將 “ to 項(xiàng)選中 , 使該文件添加到剛建立的工程中去 。 如圖下示 。 2編輯輸入原理圖文件 ( 1)元件的選擇與放置 ( 2)連接各個(gè)元件符號(hào) ( 3)設(shè)定各輸入輸出管腳名 完成原理圖編輯輸入后,保存設(shè)計(jì)圖形文件,就可編譯設(shè)計(jì)圖形文件。執(zhí)行 > 行編譯。 編譯結(jié)束后,會(huì)出現(xiàn)如下頁(yè)圖對(duì)話框,對(duì)話框會(huì)顯示編譯的錯(cuò)誤和警告的情況。若有錯(cuò)誤 , 則可先雙擊編譯器界面下方出現(xiàn)的第一個(gè)錯(cuò)誤提示,可使第一個(gè)錯(cuò)誤處改變顏色。檢查糾正第一個(gè)錯(cuò)誤后保存再編譯,如果還有錯(cuò)誤,重復(fù)以上操作,直至最后通過(guò)。最后通過(guò)時(shí)應(yīng)沒(méi)有錯(cuò)誤提示但可有警告提示。 三、編譯設(shè)計(jì)圖形文件 可以通過(guò)查看編譯報(bào)告了解有關(guān)情況,比如定時(shí)分析情況,下圖是編譯報(bào)告中關(guān)于每個(gè)輸出信號(hào)對(duì)輸入信號(hào)的延遲時(shí)間的報(bào)告。 (1)新建用于仿真的波形文件 (2)添加需要的輸入輸出引腳 (3)設(shè)置仿真時(shí)間 (4)設(shè)置柵格的大小 (5)設(shè)置輸入信號(hào)的波形 (6)保存文件,保存名為默認(rèn)名 (7)進(jìn)行功能仿真 四、時(shí)序仿真設(shè)計(jì)文件 執(zhí)行 > > 本設(shè)計(jì)電路封裝生成一個(gè)元件符號(hào),供以后在原理圖編輯器下進(jìn)行層次設(shè)計(jì)時(shí)調(diào)用。 五、生成元件符號(hào) 使用 I 軟件成功編譯工程之后,就可以對(duì) 件進(jìn)行編程或配置,進(jìn)而進(jìn)行硬件測(cè)試。 I 塊生成 I 以用編程文件與 程硬件一起對(duì)器件進(jìn)行編程或配置。 還可以使用 I 獨(dú)立版本對(duì)器件進(jìn)行編程和配置。 2 2 I 器件編程 一、編程硬件與編程模式 所使用的 程硬件可以是 I 或 載電纜或 程單元 (國(guó)內(nèi)許多開發(fā)板和實(shí)驗(yàn)箱使用 體情況請(qǐng)查看所使用的開發(fā)板和實(shí)驗(yàn)箱的有關(guān)所明。 有四種編程模式: 被動(dòng)串行模式 ( 式、主動(dòng)串行編程模式 (插座內(nèi)編程模式( 被動(dòng)串行和 程硬件對(duì)單個(gè)或多個(gè)器件進(jìn)行編程。主動(dòng)串行編程模式使用 行配置器件進(jìn)行編程。 插座內(nèi)編程模式使用 程硬件對(duì)單個(gè) 配置器件進(jìn)行編程。 二、器件設(shè)置和引腳的鎖定 1 器件的選擇 2選擇配置器件的工作方式(可不做) 3選擇配置器件(使用 4選擇閑置引腳的狀態(tài)(可不做) 5引腳的鎖定 三、編程下載設(shè)計(jì)文件 1 式編程下載 ( 1)硬件連接; ( 2)打開編程窗口、選擇編程模式和配置文件; ( 3)設(shè)置編程器(若是初次安裝時(shí)); ( 4)配置下載。 2 主動(dòng)串行編程模式 ( ( 1) 硬件連接 ( 2) 打開編程窗口 ( 3) 選擇編程模式和配置文件 ( 4) 設(shè)置編程器 ( 若是初次安裝時(shí) ) ( 5) 編程下載 四、設(shè)計(jì)電路硬件調(diào)試 下載成功后即可進(jìn)行設(shè)計(jì)電路硬件調(diào)試。具體方法應(yīng)考慮所設(shè)計(jì)電路功能和開發(fā)板或?qū)嶒?yàn)箱的具體情況,這里不做詳細(xì)介紹。 本章小結(jié) 件編程 原理圖輸入法 建立工程文件夾 編輯設(shè)計(jì)圖形文件 編譯設(shè)計(jì)圖形文件 時(shí)序仿真設(shè)計(jì)文件 生成元件符號(hào) 編程硬件與編程模式 器件設(shè)置和引腳的鎖定 編程下載設(shè)計(jì)文件 設(shè)計(jì)電路硬件調(diào)試 要求 進(jìn)一步掌握 知識(shí)點(diǎn) 原理圖輸入法中 原理圖輸入法中 原理圖輸入法中的層次化設(shè)計(jì) 重點(diǎn)和難點(diǎn) 原理圖輸入法中的層次化設(shè)計(jì) 第 3章 原理圖輸入法中 理圖輸入法中 理圖輸入法中的層次化設(shè)計(jì) 理圖輸入法中 在 供使用的元件庫(kù)除了基本邏輯元件庫(kù)以外,還有 要將設(shè)計(jì)文件保存至工程文件夾,并設(shè)置成 則,編譯不成功; 線不實(shí)、不在工程文件夾下等; 建立波形文件的方法; 仿真之前,應(yīng)先設(shè)置 便有足夠長(zhǎng)的仿真時(shí)間; 仿真變量的設(shè)置:只給輸入變量加入,輸出是結(jié)果,是用來(lái)驗(yàn)證電路正確與否的,故輸出不能設(shè)值; 最好在全局范圍內(nèi)設(shè)值,否則,保存的波形不好看 . 原理圖輸入法常見錯(cuò)誤 例 3 1 用 74151設(shè)計(jì)一個(gè)三人表決電路。 三人表決電路的真值表如下: a b c y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 其最小項(xiàng)表達(dá)式是: 根據(jù) 可知道使用 74151設(shè)計(jì)的三人表決電路的原理圖。 a b 同時(shí),波形仿真結(jié)果如下: 例 3 2 用兩塊 74161設(shè)計(jì)一個(gè)五十進(jìn)制的計(jì)數(shù)器。 74161是 4位二進(jìn)制加法計(jì)數(shù)器 ,將兩塊 74161可得八位二進(jìn)制加法計(jì)數(shù)器。其模是 256。如果計(jì)數(shù)器從開始 0計(jì)數(shù),要構(gòu)成五十進(jìn)制的計(jì)數(shù)器,當(dāng)計(jì)數(shù)器的值計(jì)到十進(jìn)制數(shù) 49即二進(jìn)制數(shù) 00110001時(shí),要讓兩塊 74161的同步置數(shù)端有效,使兩塊74161同時(shí)置零。根據(jù)此要求設(shè)計(jì)電路如下圖。 其仿真波形如圖: 在原理圖輸入法中,有三種元件之間的連線 : 節(jié)點(diǎn)( 總線( 一般的不是節(jié)點(diǎn)和總線的連線。 隨著設(shè)計(jì)的數(shù)字系統(tǒng)越來(lái)越復(fù)雜,系統(tǒng)中每個(gè)模塊都要從頭開始設(shè)計(jì)是非常困難的。 知識(shí)產(chǎn)權(quán)的出現(xiàn)使得設(shè)計(jì)過(guò)程變得簡(jiǎn)單得多。 計(jì)成參數(shù)可修改的模塊,讓其他用戶可以直接調(diào)用這些模塊。 用戶可以在自己的 少設(shè)計(jì)和調(diào)試時(shí)間,降低開發(fā)成本,提高開發(fā)效率。 3 2原理圖輸入法中 (種,在 一些內(nèi)帶的基本宏功能(供用戶使用,本節(jié)主要介紹這些 本宏功能可在原理圖設(shè)計(jì)輸入法中使用,也可在 節(jié)介紹的是基本宏功能在原理圖設(shè)計(jì)輸入法中使用,后者在第五章再做介紹。 I/包括時(shí)鐘數(shù)據(jù)恢復(fù)、鎖相環(huán)、雙數(shù)據(jù)速率、千兆位收發(fā)器塊 I 儲(chǔ)組件 存儲(chǔ)器、移位寄存器宏模塊和 例 3 4 函數(shù)應(yīng)用 二、 基本宏功能應(yīng)用實(shí)例 通過(guò)編譯仿真,其仿真波形如圖 : 例 3 5 函數(shù)應(yīng)用 波形仿真結(jié)果: 例 3 6 函數(shù)應(yīng)用 通過(guò)編譯仿真,其仿真波形如下圖。 例 3 7 函數(shù)應(yīng)用 通過(guò)編譯仿真,其仿真波形如圖 : 層次化設(shè)計(jì)也稱“ 自頂向下 ”設(shè)計(jì)方法,即將一個(gè)大的設(shè)計(jì)項(xiàng)目分解為若干子項(xiàng)目或若干層次來(lái)完成。 劃分是從頂層由高往下,而設(shè)計(jì)則可先設(shè)計(jì)底層的電路,然后在高層次的設(shè)計(jì)中,逐級(jí)調(diào)用低層次的設(shè)計(jì)結(jié)果。原理圖輸入法可很方便地進(jìn)行層次化設(shè)計(jì)。 一 般層次化設(shè)計(jì)法用于較大的項(xiàng)目 ,但由于篇幅的原因,下面用一個(gè)不是很大的項(xiàng)目來(lái)說(shuō)明層次化設(shè)計(jì)法。 3 3原理圖輸入法中的層次化設(shè)計(jì) 例 3 8 用層次化設(shè)計(jì)設(shè)計(jì)一個(gè)兩位二進(jìn)制數(shù)乘法器。 1系統(tǒng)分析 兩位二進(jìn)制數(shù)相乘,最多可得四位二進(jìn)制數(shù),系統(tǒng)可分解為兩個(gè)半加器和幾個(gè)與門聯(lián)結(jié)而成。 2底層電路半加器設(shè)計(jì) 半加器電路真值表 a b s c 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 由半加器的真值表可得,半加器的邏輯表達(dá)式如下: s = a b c = a b 根據(jù)半加器的邏輯表達(dá)式, 以此文件建立工程,編譯通過(guò),執(zhí)行>> 成符號(hào) 3頂層電路兩位二進(jìn)制數(shù)乘法器設(shè)計(jì) 根據(jù)系統(tǒng)分析所得結(jié)論,可按后頁(yè)圖設(shè)計(jì)兩位二進(jìn)制數(shù)乘法器電路。 新建一個(gè)工程文件夾 建一個(gè)原理圖文件,使用插入符號(hào)命令,出現(xiàn)選擇符號(hào)的界面,選擇 此文件新建工程。調(diào)出有關(guān)其他元件并按下圖連線,保存、編譯并通過(guò)仿真。 通過(guò)編譯仿真,其仿真波形如圖 : 本例的底層電路符號(hào)用原理圖設(shè)計(jì)輸入法設(shè)計(jì)后生成,還可以用以后介紹的文本設(shè)計(jì)輸入法設(shè)計(jì)后生成,這樣的設(shè)計(jì)方法稱混合設(shè)計(jì)輸入法。 本章小結(jié) 理圖輸入法中 原理圖輸入法中 原理圖輸入法中的層次化設(shè)計(jì) > 109 要求 掌握 設(shè)計(jì)邏輯電路的基本方法 。 知識(shí)點(diǎn) 理解 理解 理解 理解 理解 重點(diǎn)和難點(diǎn) 110 11 書主要介紹 112 一、輸入編輯 際操作) 1 建立工程項(xiàng)目 2 建立 3 編輯 二、編譯仿真 1編譯 2仿真 113 一個(gè)完整的 庫(kù) (程序包 (明 ; 實(shí)體 (明 ; 構(gòu)造體 ( 配置 (。 114 一、庫(kù)、程序包 1庫(kù)與程序包的概念 程序包( 預(yù)先定義或設(shè)計(jì)好的數(shù)據(jù)類型、子程序和各種設(shè)計(jì)實(shí)體的集合; 庫(kù)( 是用來(lái)存儲(chǔ)已經(jīng)完成的程序包等 庫(kù)和程序包的引用聲明放在設(shè)計(jì)文件的最前面。 115 2 常見的庫(kù): ( 1) : 是最常用的庫(kù),它包含: 些程序包包含有 其中 是許多其他程序包的基礎(chǔ)。 ( 2) : 是符合 用時(shí)不需顯式聲明 ,它包含 116 ( 3) : 各公司提供的 ( 4) : 為現(xiàn)行作業(yè)庫(kù),存放設(shè)計(jì)者的 用戶自己的庫(kù) 。使用時(shí)不需顯式聲明,但必需在根目錄下建立一個(gè)文件夾作為用戶項(xiàng)目目錄,這目錄將被綜合器默認(rèn)為 ,但 并不以這個(gè)文件夾的名字為名字,它的名字是 ( 5) 用戶自定義庫(kù): 用戶自己定義的庫(kù) 。 117 3、程序包的定義( 了解 ) 序包名 程序包首說(shuō)明語(yǔ)句; 程序包名; 序包名 程序包體說(shuō)明語(yǔ)句; 包集合名; 重點(diǎn)提示 程序包體為可選項(xiàng),程序包首與程序包體名字應(yīng)相同; 118 4、庫(kù)與程序包的使用 除 他庫(kù)與程序包使用前首先要聲明。 格式如下: 名; 名 項(xiàng)目名; 名 最后的一個(gè) 119 例如: 庫(kù)說(shuō)明的作用范圍從實(shí)體開始到其所屬構(gòu)造體、配置為止。 使用下面語(yǔ)句使用用戶定義的程序包; 項(xiàng)目名; 120 實(shí)體 (以下摘自北師大出版社教材) 設(shè)計(jì)實(shí)體可以是整個(gè)系統(tǒng),如像 可以是一個(gè)芯片、邏輯器件或者是一個(gè)最簡(jiǎn)單的門電路。 設(shè)計(jì)實(shí)體可以代表任何一個(gè)模塊,它可以是某個(gè)層次中的一個(gè)元件,也可以是設(shè)計(jì)中的頂層模塊。 121 二、實(shí)體 實(shí)體 (括 實(shí)體聲明 和 結(jié)構(gòu)體 兩部分。 實(shí)體聲明部分 描述所設(shè)計(jì)的電路與外部電路的接口,指定其輸入 /輸出端口或引腳。 結(jié)構(gòu)體 則用來(lái)規(guī)定所設(shè)計(jì)電路的內(nèi)部結(jié)構(gòu)和輸入與輸出引腳間的邏輯關(guān)系。 122 體名 屬表 );口表 );實(shí)體名; 123 放在端口聲明之前,指定所設(shè)計(jì)電路所用到的類屬參數(shù), 如矢量位數(shù)、時(shí)間單位等 , 主要在進(jìn)行考慮一般性的設(shè)計(jì)時(shí)用到,通過(guò)改變這些類屬參數(shù)可適應(yīng)不同情況要求。 例如: n:8); 124 描述所設(shè)計(jì)的電路與外部電路的接口,指定其輸入 /輸出端口或引腳。其格式如下: 口名 : 端口方向 端口數(shù)據(jù)類型 ; 端口名 : 端口方向 端口數(shù)據(jù)類型 ); 125 下面是一個(gè)實(shí)體聲明的例子 (參照課本 S n:8); a:); f: 有 0和 1兩個(gè)值, 126 結(jié)構(gòu)體則用來(lái)規(guī)定所設(shè)計(jì)電路的內(nèi)部結(jié)構(gòu)和輸入輸出引腳間的邏輯關(guān)系。其格式如下: 構(gòu)體名 體名 聲明語(yǔ)句 功能描述語(yǔ)句 結(jié)構(gòu)體名; 127 1、結(jié)構(gòu)體內(nèi)的聲明語(yǔ)句 結(jié)構(gòu)體的聲明部分用來(lái)聲明只能用于所設(shè)計(jì)電路內(nèi)部的常數(shù)、數(shù)據(jù)類型、信號(hào)、元件和子程序。這些聲明只能用于這個(gè)結(jié)構(gòu)體中。 2、功能描述語(yǔ)句的類型 (1)進(jìn)程語(yǔ)句,其內(nèi)部為順序語(yǔ)句 。 (2)并行過(guò)程調(diào)用語(yǔ)句 (3)并行的信號(hào)賦值語(yǔ)句包括 簡(jiǎn)單信號(hào)賦值語(yǔ)句、 條件信號(hào)賦值語(yǔ)句和選擇信號(hào)賦值語(yǔ)句 。 (4)元件例化語(yǔ)句 (5)生成語(yǔ)句 128 下面是一個(gè)實(shí)體與結(jié)構(gòu)體的具體例子: S ); F S a; 共陰數(shù)碼管及其電路 并行語(yǔ)句( 順序語(yǔ)句特點(diǎn): 其中每一條語(yǔ)句在行為仿真時(shí)的執(zhí)行順序都是按照它們的書寫順序。 并行語(yǔ)句特點(diǎn): 各個(gè)并行語(yǔ)句在行為仿真時(shí)的執(zhí)行是同步進(jìn)行的,其執(zhí)行順序與書寫順序無(wú)關(guān)。 135 順序語(yǔ)句只能出現(xiàn)在進(jìn)程 (函數(shù) (過(guò)程 (。 進(jìn)程語(yǔ)句是常用的順序語(yǔ)句。其本身是并行語(yǔ)句。但其內(nèi)部的語(yǔ)句卻是順序語(yǔ)句。 常見的順序語(yǔ)句有 賦值語(yǔ)句、 還有一些其他不太常用的順序語(yǔ)句。 136 賦值語(yǔ)句分 變量 賦值語(yǔ)句和 信號(hào)賦值語(yǔ)句。 變量賦值時(shí)間延遲為零; 信號(hào)賦值一定存在時(shí)間延遲。 137 1、變量賦值語(yǔ)句 例 :=9; B:=7; Z:=X+Y; 其中 A、 都是變量 , :=表示給變量賦值。 變量賦值語(yǔ)句的格式是: 目標(biāo)變量 :=賦值源表達(dá)式 ; 138 2、信號(hào)賦值語(yǔ)句 例 y0 y0 y0 擇值 =>順序語(yǔ)句; 順序語(yǔ)句; 重點(diǎn)提示 157 例 158 S 1 ); la,lb,lc, ; a OF S

注意事項(xiàng)

本文(EDA技術(shù)與VHDL實(shí)用教程)為本站會(huì)員(d****)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!