九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

課程設計報告-基于VHDL的電子密碼鎖設計.doc

  • 資源ID:116501820       資源大?。?span id="24d9guoke414" class="font-tahoma">185.51KB        全文頁數(shù):28頁
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

課程設計報告-基于VHDL的電子密碼鎖設計.doc

摘要摘要隨著電子產(chǎn)品向智能化和微型化的不斷發(fā)展,EDA技術作為現(xiàn)代電子設計最新技術的結晶,給電子系統(tǒng)的設計帶來了革命性的變化。本論文設計一種基于VHDL的電子密碼鎖系統(tǒng),該系統(tǒng)具有軟硬件設計簡單、易于開發(fā)、成本低、安全可靠、操作方便等特點,可作為產(chǎn)品進行開發(fā),應用于住宅,辦公室的保險箱及檔案等需要防盜的場所,有較強的實用性。本系統(tǒng)由Quartus II軟件、矩陣鍵盤、LED顯示和報警系統(tǒng)組成。4*4鍵盤組成09數(shù)字鍵,每按下一個鍵,相關鍵的值在數(shù)碼管上顯示,并依次左移;能夠清除密碼輸入,并設置預置密碼為“1234”;修改密碼時,將當前輸入設為新的密碼;能完成上鎖和開鎖功能;當輸入密碼和預置密碼一樣時即可開鎖。具有確認、取消、修改、開鎖、閉鎖功能,用7段數(shù)碼管組成顯示電路提示信息。關鍵詞:QuartusII VHDL 電子密碼鎖 矩陣鍵盤 七段數(shù)26目錄目 錄第一章 緒論11.1選題依據(jù)11.2設計功能1第二章 系統(tǒng)方案選擇22.1設計方案的選擇22.2根據(jù)方案擬實現(xiàn)的具體功能3第三章 硬件原理及軟件程序設計53.1軟件設計流程53.2各模塊程序設計53.2.1鍵盤輸入部分的源程序53.2.2 鍵盤消抖電路113.2.3 移位寄存電路123.2.4 密碼驗證及改密電路143.2.5 電鎖控制電路153.2.6 動態(tài)掃描電路程序173.2.7 片選信號電路程序183.2.8 選擇輸出電路程序193.2.9 譯碼輸出電路程序20第四章 仿真測試23結束語24參 考 文 獻26第一章 緒論第一章 緒論1.1選題依據(jù)在日常的生活和工作中,住宅與部門的安全防范、單位的文件檔案、財務報表以及一些個人資料的保存多以加鎖的方法來解決。若使用傳統(tǒng)的機械式鑰匙開鎖,人們常需攜帶多把鑰匙,使用既不方便,且鑰匙丟失后安全性就打折扣。隨著科學技術的不斷發(fā)展,人們對日常生活中的安全保險器件的要求越來越高。為滿足人們對鎖的使用要求,增加其安全性,用密碼代替鑰匙的密碼應運而生。密碼鎖具有安全性高、成本低、功耗低、易操作等優(yōu)點。在安全技術防范領域,具有防盜報警功能的電子密碼鎖逐漸代替?zhèn)鹘y(tǒng)的機械式密碼鎖,客服了機械式密碼鎖密碼量少、安全性能差的缺點,使密碼鎖無論在技術上還是在性能上都有大大提高一步。隨著大規(guī)模集成電路技術的發(fā)展,特別是VHDL的問世,出現(xiàn)了帶微處理的智能密碼鎖,它除具有電子密碼鎖的功能外,還引入了智能化管理、專家分析系統(tǒng)等功能,從而使密碼鎖具有很高的安全性、可靠性,應用日益廣泛。隨著人們對安全的重視和科技的發(fā)展,許多電子智能鎖(指紋識別、IC卡辨認)已在國內(nèi)外相繼面世。但是這些產(chǎn)品的特點是針對特定的指紋和有效卡,只能使用于保密要求的箱、柜、門等。而且指紋識別器若在公共場所使用存在容易機械損壞,IC卡還存在容易丟失、損壞等特點。加上其成本較高,一定程度上限制了這類產(chǎn)品的普及和推廣。鑒于目前的技術與水平與市場的接受程度,電子密碼鎖是這類電子防盜產(chǎn)品的主流。1.2設計功能基于以上思路,本次電子密碼鎖的設計,主要具有如下功能:(1)設置4位密碼,密碼通過鍵盤輸入,若密碼正確,則打開。(2)密碼可以由用戶自己修改設定(只支持4位密碼),開鎖后才能修改密碼,修改功能為:輸入舊密碼,再輸入新密碼并二次確認(以防止誤操作)。(3)報警、鎖定鍵盤功能。密碼輸入錯誤數(shù)碼管顯示出現(xiàn)錯誤提示,若密碼 輸入錯誤次數(shù)超過3次,蜂鳴器報警并且鎖定鍵盤。(4)按下清零鍵,錯誤計數(shù)歸零,不再報警并燈熄滅,恢復原始狀態(tài)。第二章 系統(tǒng)方案選擇第二章 系統(tǒng)方案選擇2.1設計方案的選擇在本次設計中提出了兩種方案:一種是用以74LS112雙JK觸發(fā)器構成的數(shù)字邏輯電路控制方案,還有一種是用VHDL語言編程方案。下面對這兩種方案做分析。方案一:采用數(shù)字電路控制。其原理方框圖如圖2-1所示。密碼修改電路密碼校驗電路鍵盤輸入報警次數(shù)檢測鎖定5分鐘開鎖電路執(zhí)行電路限時報警市電供電電路電子切換開關蓄電池斷電檢測充電電路開門220V電源VCC 圖2-1 數(shù)字密碼鎖電路方案采用數(shù)字密碼鎖電路的好處就是設計原理比較易懂。用以74LS112雙JK觸發(fā)器構成的數(shù)字邏輯電路作為密碼鎖的核心控制,共設了9個用戶輸入鍵,其中只有4個是有效的密碼按鍵,其它的都是干擾按鍵,若按下干擾鍵,鍵盤輸入電路自動清零,原先輸入的密碼無效,需要重新輸入;如果用戶輸入密碼的時間超過40秒(一般情況下,用戶不會超過40秒,若用戶覺得不便,還可以修改)電路將報警80秒,若電路連續(xù)報警三次,電路將鎖定鍵盤5分鐘,防止他人的非法操作。 電路由兩大部分組成:密碼鎖電路和備用電源(UPS),其中設置UPS電源是為了防止因為停電造成的密碼鎖電路失效,使用戶免遭麻煩。密碼鎖電路包含:鍵盤輸入、密碼修改、密碼檢測、開鎖電路、執(zhí)行電路、報警電路、鍵盤輸入次數(shù)鎖定電路。方案二:采用一種是用Quartus II軟件編程,利用VHDL描述數(shù)字系統(tǒng)的結構,行為,功能和接口,及其控制的準確性,來實現(xiàn)密碼鎖的設計。如圖2-2所示:矩陣鍵盤控制LED數(shù)碼顯示電路VHDL編程圖2-2設計方案通過比較以上兩種方案,VHDL編程方案有較大的活動空間,不但能實現(xiàn)鎖要求的功能而且能在很大的程度上擴展功能,而且還可以方便的對系統(tǒng)進行升級,所以我們采用第二種方案。2.2根據(jù)方案擬實現(xiàn)的具體功能1、論文的設計要求:1)設置4位密碼,密碼通過鍵盤輸入,若密碼正確,則將鎖打開。2)密碼可以由用戶自己修改設定(只支持4位密碼),鎖打開后才能修改密碼。修改密碼之前必須再次輸入密碼,在輸入新密碼時候需要二次確認,以防止誤操作。3)報警、鎖定鍵盤功能。密碼輸入錯誤數(shù)碼管顯示出現(xiàn)錯誤提示,若密碼 輸入錯誤次數(shù)超過3次,蜂鳴器報警并且鎖定鍵盤。2、本論文既定的設計功能描述:1)加電后,數(shù)碼管上沒有任何顯示,當按下開鎖鍵,數(shù)碼管出現(xiàn)“”并閃爍。2)輸入密碼時,只逐為顯示“H”,以防止泄露密碼。密碼輸入完后,按下“確定”鍵,密碼如果正確,則蜂鳴器響三聲,數(shù)碼管熄滅。這時,再按下“關鎖”鍵,數(shù)碼管就出現(xiàn)“”靜止不動,實現(xiàn)閉鎖功能,按任一個鍵都不會有反應,只有按開鎖重新開始。輸入密碼過程中,如果不小心輸入錯誤,可按“取消”鍵,刪除輸錯的密碼。3)修改密碼,按下修改鍵,連續(xù)輸入新密碼,按確定鍵即可。第三章 硬件原理及軟件設計第三章 硬件原理及軟件程序設計3.1軟件設計流程根據(jù)第二章的設計方案并結合實際情況,進行單元電路設計后得電子密碼鎖的硬件設計機。主要由三部分組成:4*4矩陣鍵盤接口電路、密碼鎖的控制電路、輸出八段顯示電路。另外,系統(tǒng)還有LED提示燈,報警蜂鳴器等。首先用鍵盤輸入密碼,通過消抖后進入存儲器,輸入密碼與設定的密碼,相同時開鎖,當輸入密碼錯誤時,開始計數(shù),當?shù)谌屋斎朊艽a錯誤時,揚聲器報警。系統(tǒng)頂層框圖較為簡單,如圖3-1所示。密碼輸入電路 掃描信號 按鍵輸入鍵盤譯碼彈跳消除鍵盤鍵盤掃描顯示電路數(shù)碼管顯示控制密碼電路按鍵數(shù)據(jù)存儲數(shù)值比較開/關鎖報警圖3-1 系統(tǒng)頂層框圖3.2各模塊程序設計3.2.1鍵盤輸入部分的源程序4*4按鍵分行線和列線去控制,行線連接16個按鍵的一端,列線連接16個按鍵的另外一端,當給行線(或者列線)一個信號,有按鍵按下的時候讀回來的時候的數(shù)據(jù)是不一樣的,也就是證明有按鍵按下!當行信號(KEY)接到高電平,當沒有鍵按時,行線與列線(KEYSCAN)是斷開的,且行線都是高電平(1111),行信號作為CPLD、列信號作為CPLD??梢栽O置列線初始狀態(tài)為低電平(0000)。信號為從上到下的順序。鍵盤輸入的原理圖如圖3-2圖3-2 4*4鍵盤輸入原理圖根據(jù)需要,本例子的4*4鍵盤設定10個數(shù)字鍵(09)和4個功能鍵(確認鍵、取消鍵、開鎖鍵、閉鎖鍵),鍵盤的布局如圖3-3所示。圖3-3 矩陣鍵盤鍵盤程序代碼:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity jp isport (clk :in std_logic ;R:in std_logic_vector(3 downto 0);L:out std_logic_vector(3 downto 0);dataout:out std_logic_vector(3 downto 0);szkzj1,gnkzj1:out std_logic; rest,querenj,gaimij,sanchuj:out std_logic);end jp;architecture arth of jp issignal carry1:std_logic;signal count:std_logic_vector(3 downto 0);signal count1:std_logic_vector(1 downto 0);signal sel:std_logic_vector(3 downto 0);signal temp:std_logic_vector(3 downto 0);signal querenj1,sanchuj1,gaimij1,rest1:std_logic;signal szkzj,gnkzj:std_logic;p1:process(clk)beginif(rising_edge(clk) thencount=count+1;end if;end process p1;p2:process(clk)beginif(rising_edge(clk)thenif(count=1111) thencarry1=1;elsecarry1=0;end if;end if;end process p2;p3:process(carry1)beginif(rising_edge(carry1) thencount1=count1+1;end if;end process p3;sel=1110 when count1=00 else 1101 when count1=01 else 1011 when count1=10 else 0111 when count1=11;p:process(clk)begin if(falling_edge(clk) then L=sel; -列線 end if;end process p;p4:process(clk,R,sel)beginif(rising_edge(clk) thenif(R(0)=0) thenif(sel(0)=0)thentemp=0000;szkzj=1;gnkzj=0; -0,數(shù)字標識,功能標識。elsif(sel(1)=0) thentemp=0001;szkzj=1;gnkzj=0;elsif(sel(2)=0)thentemp=0010;szkzj=1;gnkzj=0;elsif(sel(3)=0) thentemp=0011;szkzj=1;gnkzj=0;end if;elsif(R(1)=0) thenif(sel(0)=0)thentemp=0100;szkzj=1;gnkzj=0;elsif(sel(1)=0) thentemp=0101;szkzj=1;gnkzj=0;elsif(sel(2)=0)thentemp=0110;szkzj=1;gnkzj=0;elsif(sel(3)=0) thentemp=0111;szkzj=1;gnkzj=0;end if;elsif(R(2)=0) thenif(sel(0)=0)thentemp=1000;szkzj=1;gnkzj=0;elsif(sel(1)=0) thentemp=1001;szkzj=1;gnkzj=0;elsif(sel(2)=0)thenszkzj=0;gnkzj=1;elsif(sel(3)=0) thenszkzj=0;gnkzj=1;end if;elsif(R(3)=0) thenif(sel(0)=0)thenquerenj1=1;szkzj=0;gnkzj=1;else querenj1=0;end if; if(sel(1)=0) thensanchuj1=1;szkzj=0;gnkzj=1;else sanchuj1=0;end if;if(sel(2)=0)thengaimij1=1;szkzj=0;gnkzj=1;else gaimij1=0;end if;if(sel(3)=0) thenrest1=1;szkzj=0;gnkzj=1;else rest1=0;end if;end if;end if;end process p4; p8:process(szkzj,gnkzj)beginif szkzj=1 and gnkzj=0 thendataout=temp;else dataout=null;end if;if gnkzj=1 and szkzj=0 thenquerenj=querenj1;sanchuj=sanchuj1;gaimij=gaimij1;rest=rest1;else querenj=null;sanchuj=null;gaimij=null;rest=null;end if;end process p8;szkzj1=szkzj;gnkzj1=gnkzj;END arth;3.2.2 鍵盤消抖電路因為按鍵大多是機械式開關,在開關切換的瞬間會在接解點出現(xiàn)來回彈跳的現(xiàn)象,雖然只是按了一次鍵,實際產(chǎn)生的按鍵信號卻不只跳動一次,經(jīng)過取樣信號的檢查后,將會造成誤碼判斷,認為是按了兩次鍵。消除按鍵抖動可以用硬件電路來實現(xiàn),例如,利用RS觸發(fā)器來鎖定按鍵狀態(tài),消除抖動的影響。也可以利用現(xiàn)成的專用消抖電路,如MC14490就是六路消抖電路。較為簡單的方法是用軟件延時方法來消除按鍵的抖動,也就是說,一旦發(fā)現(xiàn)有按鍵按下,就延時20ms以后在測按鍵的狀態(tài)。這樣就避免開按鍵發(fā)生抖動的那一段時間,使CPU能可靠地讀按鍵狀態(tài)。在編制鍵盤掃描程序時,只要發(fā)現(xiàn)按鍵狀態(tài)有變化,即無論是按下還是松開,程序都應延時20ms以后再進行其他操作。如果調(diào)整取樣頻率,彈跳現(xiàn)象可得到改善。本論文應用D觸發(fā)器可以實現(xiàn)消抖作用。原理圖如圖3-4VHDL語言鍵盤消抖電路原理圖所示:圖3-4鍵盤消抖電路原理圖鍵盤消抖電路的程序代碼:library ieee;use ieee.std_logic_1164.all;entity d4 is port is( clk:in std_logic; a: in std_logic_vector(3 downto 0); rest1,querenj1,gaimij1,sanchuj1:in std_logic; q:out std_logic_vector(3 downto 0); rest2,querenj2,gaimij2,sanchuj2:out std_logic);end d4;architecture f of d4 issignal qi:integer range 0 to 3;signal clk_temp:std_logic;begin process(clk) begin if rising_edge(clk) then if qi=3 then qi=0; clk_temp=not clk_temp; else qi=qi+1; end if;end if;end process;process(clk_temp)begin if clk_temp event and clk_temp =1 then q =a;rest2=rest1;querenj2=querenj1; gaimij2=gaimij1;sanchuj2=sanchuj1; end if;end process;end f;3.2.3 移位寄存電路表3-1移位寄存器功能表輸入數(shù)據(jù)輸出數(shù)據(jù)第一次00000000第二次000100000001第三次0010000000010010第四次00110000000100100011第五次01000001001000110100由于按鍵按下時,鍵盤輸入的是4位二進制數(shù),每次輸入后都會前一次輸入的都會消失,所以必須對鍵盤輸出進行移位儲存才能得要16位二進制數(shù)即4位十進制數(shù)。移位寄存工作原理就是在下一次數(shù)據(jù)輸入前,對其進行移位寄存以確保不會丟失,如我們定義輸入為4位二進制數(shù),輸出為16為二進制數(shù),由低位向高位移位,第一次輸入的是0000,第二次輸入的是0001,第三次輸入的是0010,第四次是0011,這時輸出的就是0000000100100011。如表3-1當用戶發(fā)現(xiàn)輸入密碼錯誤時可以進行刪除,這里刪除采用了移位操作,按一次刪除鍵輸出數(shù)據(jù)就向低位移動已達到刪除功能。原理圖如圖3-5 VHDL語言移位寄存電路原理圖所示:圖3-5移位寄存電路移位寄存電路程序代碼:library ieee;use ieee.std_logic_1164.all;entity yw isport(input:in std_logic_vector(3 downto 0); rest,shanchu,clk :in std_logic; output :out std_logic_vector(15 downto 0); end yw;architecture behave of yw is signal output_temp: std_logic_vector(15 downto 0); -signal output_temp(3 downto 0): std_logic_vector(3 downto 0);beginprocess(clk)begin if rest=1 then output=null; elsif (rising_edge(clk) then if shanchu=0 then output_temp(15 downto 12)=output_temp(11 downto 8); output_temp(11 downto 8)=output_temp(7 downto 4); output_temp(7 downto 4)=output_temp(3 downto 0); output_temp(3 downto 0)=input; else output_temp(3 downto 0)=output_temp(7 downto 4); output_temp(7 downto 4)=output_temp(11 downto 8); output_temp(11 downto 8)=output_temp(15 downto 12); end if; end if;end process;output=output_temp;end behave;3.2.4 密碼驗證及改密電路密碼驗證實際上就是對輸入的密碼進行比較,這里事先內(nèi)置了初始密碼,只有當用戶輸入的密碼與初始密碼一致時,輸出就為高電平。這里也可以外置密碼,但按下改密鍵時,就處于改密狀態(tài)。然后由鍵盤輸入需要修改的密碼。library ieee;use ieee.std_logic_1164.all;entity yan is port (clk,gaimij2,querenj2:in std_logic; input2:in std_logic_vector(15 downto 0); output2:out std_logic);end yan;architecture a of yan is signal input2_temp:std_logic_vector(15 downto 0):=0000000100100011;begin-input2_temp=0000000100100011;process (clk,gaimij2)begin if gaimij2=0 then if clkevent and clk=1 then if querenj2=1 then if input2_temp=input2 then output2=1; else output2=0; end if; end if; end if; else input2_temp=input2; end if;end process;end a;3.2.5 電鎖控制電路電鎖控制電路是整個電路的控制中心,主要完成如下功能:1) 數(shù)字按鍵輸入部分:如果輸入數(shù)字鍵,第一個數(shù)字會從顯示器的最右端開始顯示,此后每新按一個數(shù)字時,顯示器上的數(shù)字必須往左移動一位。若想要更改剛輸入的數(shù)字,可按刪除鍵來使數(shù)字右移一位以清除前一個輸入的數(shù)字。2)功能鍵輸入部分:刪除鍵:只清除前一個輸入的數(shù)字。確認鍵:輸入密碼后,開鎖之前必須先核對密碼。密碼變更:按下此鍵將目前輸入的數(shù)字設定為新的密碼。清零鍵:按下此鍵恢復原始狀態(tài)即錯誤計數(shù)歸零,發(fā)光二極管熄滅,蜂鳴器停止工作。輸入4位數(shù)字退格及清除上鎖工作模式:輸入密碼、密碼核對、變更密碼、存儲密碼及激活密碼開鎖工作模式:(輸入密碼)、密碼核對、開鎖圖3-6 三種工作模式library ieee;use ieee.std_logic_1164.all;entity ztj isport( clk,input,reset:in std_logic; light:out std_logic:=0; alarm:out std_logic:=0);end ztj;architecture face of ztj istype state_type is(s0,s1,s2,s3);signal state:state_type;begin process(clk) begin if reset=1 then state=s0;alarm=0;light if input=0 then alarm=0;light=0;state=s1; else state=S0;light=1;alarm if input=0 then alarm=0;light=0;state=s2; else state=S0;light=1;alarm if input=0 then alarm=0;light=0; state=s3; else state=S0;light=1;alarm state=s3; light=0;alarmseg4seg4seg4seg4seg4=1111; end case; end process;end behave;3.2.7 片選信號電路程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sel isport (cllk:in std_logic; sel:out std_logic_vector(1 downto 0);end sel;architecture behave of sel isbeginprocess(cllk)variable cnt:std_logic_vector(1 downto 0); begin if cllkevent and cllk=1 then cnt:=cnt+1; end if; sel if sel=00 then state=s1; output3 if sel=01 then state=s2; output3 if sel=10 then state=s3; output3 if sel=11 then state=s3; output3dataoutdataoutdataoutdataoutdataoutdataoutdataoutdataoutdataoutdataoutdataout=11111111;end case;end if;end process;end behave;第四章 仿真測試第四章 仿真測試各主要的小模塊的波形結束語結束語本次的設計經(jīng)過一個多月的時間,基本完成了設計選題的要求,設計出的密碼鎖有4*4鍵盤輸入,LED數(shù)碼顯示,蜂鳴器設置。具有數(shù)字鍵和確認刪除功能,同時用戶可根據(jù)需要修改密碼,密碼超次報警功能?;就瓿闪祟A期的目標,系統(tǒng)硬件調(diào)試花費時間較長,最終基本達到了預期的目標,配合軟件仿真的實現(xiàn)。本次設計較為完善。本次設計在鍵盤操作,及數(shù)碼管顯示電路的研究較為詳細。實時顯示方面做的比較好。但是由于時間倉促、條件有限,設計成果并不是很完美,還存在下面問題:LED在密碼輸入正確、錯誤、及超次輸入錯誤后的紅綠黃指示燈的提示部分未能達到預期目的。串口通訊還不太穩(wěn)定,未對溫度數(shù)值統(tǒng)計處理以及存儲。我準備在今后的工作過程中進一步完善此設計。感想感想剛開始起草設計方案的時候我可真是可以用無頭蒼蠅來形容,根本不知道從哪里下手,因為做畢業(yè)設計還是第一次。好不容易查了一些資料后,終于有了一個雛形,可是,當我開始寫選題報告的時候,又是問題百出,讓我心煩意亂。指導老師看了我的選題報告后,指出了不少的錯誤,那時候心里好不爽快。并非因為老師的指責,而是由于自己發(fā)費的時間沒有起效。 根據(jù)老師的指示,我又查了一些資料,與兄弟班同課題的同學交流了一下實驗方案,隨后去超市逛了逛。沒想到這一招還挺管用的,回來后,就又把設計方案重新整理了一下。雖然有些部分不是很滿意,但我總算有眉頭了。導老師給我們講了電子密碼鎖的總體思路然,下來后我根據(jù)老師所講的,分成一些模塊,在得到老師的認可后,我開始了程序的編寫,起初由于對VHDL語言的不熟練,走了許多彎路,在同學和老師的幫助下,我慢慢的變得清晰,每寫好一個模塊程序后,在實驗箱上進行驗證,正確后開始下一個模塊程序編寫。在所有模塊寫好后,進行頂層圖設計,在這里遇到了許多麻煩,如理論上所有的都沒錯,但是當下載到實驗箱上后卻不能達到理想的效果,在指導老師的指導下,這些問題逐步解決,最后終于完成了設計。 不過設計的完成總是讓人高興的,自己認真設計的東西和抄的東西感覺完全不一樣。抄的東西結束了,只是松口氣,僥幸逃脫老師的法眼;自己認真設計的東西當然不是說全部自己設計,總歸是自己去理解了來設計的結束后除了感覺總算完成了,還有一點成就感:自己的娃怎么看都乖。而且在這個過程中真的能學到很多東西,我們不一定要對所有的東西都廣泛了解,盡量找到和自己專業(yè)有關的且感興趣的某一方面,然后去鉆研,我覺得這樣更好。 畢業(yè)設計,大學里最后一件事,一件我認為作為大學生要做好的事,在最后的日子里,拖延了太久,花了我很多很多時間和心思,得到的當然沒有想要的好,而我確實認為自己為它付出了。 當然,最終設計的完成,離不開指導老師的輔導,非常感謝你們。參考文獻參 考 文 獻1電子技術實驗.高文煥. 清華大學出版社,20042數(shù)字邏輯電路設計.鮑可進.清華大學出版社,20043 謝嘉奎電子線路M 高等教育出版社2003-24EDA技術實用教程.潘松,黃繼業(yè).科學出版社,20025數(shù)字邏輯設計與VHDL描述.徐惠明,安德寧.機械工業(yè)出版社,20026VHDL芯片設計.劉紹漢,劉新民. 清華大學出版社,20047 黃正謹綜合電子設計與實踐M 東南大學出版社2002-38 楊欣等電子設計從零開始M 清華大學出版社2005-109 謝嘉奎電子線路M 高等教育出版社2003-210 夏路易,石宗義電路原理圖與電路設計教程Protel 99SEM 北京希望電子出版社2002

注意事項

本文(課程設計報告-基于VHDL的電子密碼鎖設計.doc)為本站會員(good****022)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復下載不扣分。




關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!