九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

2017畢業(yè)論文-基于FPGA的多路信號(hào)采集器設(shè)計(jì).doc

  • 資源ID:116528799       資源大?。?span id="24d9guoke414" class="font-tahoma">2.84MB        全文頁數(shù):54頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

2017畢業(yè)論文-基于FPGA的多路信號(hào)采集器設(shè)計(jì).doc

內(nèi)蒙古科技大學(xué)畢業(yè)設(shè)計(jì)說明書(畢業(yè)論文)內(nèi)蒙古科技大學(xué)本科生畢業(yè)設(shè)計(jì)說明書(畢業(yè)論文)題 目:基于FPGA的多路信號(hào)采集器設(shè)計(jì)學(xué)生姓名:學(xué) 號(hào):0605112306專 業(yè):測(cè)控技術(shù)與儀器班 級(jí):測(cè)控2006-3班指導(dǎo)教師:49基于FPGA的多路信號(hào)采器設(shè)計(jì)摘 要信號(hào)采集器是信號(hào)和和控制器之間樞紐,采集信號(hào)質(zhì)量的高低,速度的快慢將嚴(yán)重影響到控制質(zhì)量。然而,自然中的信號(hào)各種各樣,環(huán)境復(fù)雜,并且控制器對(duì)信號(hào)的要求亦各不相同,這些都使得信號(hào)采集一直以來都是技術(shù)難點(diǎn)。本文試圖設(shè)計(jì)一種多路的基于FPGA的信號(hào)采集器。與傳統(tǒng)的數(shù)據(jù)采集器以單片機(jī)或DSP作為控制器相比,F(xiàn)PGA具有集成度高、邏輯實(shí)現(xiàn)能力強(qiáng)、速度快、設(shè)計(jì)靈活性好等眾多優(yōu)點(diǎn),尤其在并行信號(hào)處理能力方面比DSP更具優(yōu)勢(shì)。在信號(hào)處理領(lǐng)域,經(jīng)常需要對(duì)多路信號(hào)進(jìn)行采集和實(shí)時(shí)處理,這亦是本文的目標(biāo)。本文首先介紹了信號(hào)采集技術(shù)的最新動(dòng)態(tài),然后比較傳統(tǒng)的器件提出系統(tǒng)的總體方案設(shè)計(jì)。在硬件方面,介紹了傳感器、測(cè)量通道、FPGA芯片的結(jié)構(gòu)原理和性能。數(shù)據(jù)處理的軟件設(shè)計(jì)以Quartus為軟件平臺(tái),采用VHDL作為編程語言和自頂向下的設(shè)計(jì)思想。本設(shè)計(jì)大部功能通過軟件仿真得到了方案要求的結(jié)果,其中數(shù)字通道在實(shí)際電路中得到了驗(yàn)證。 關(guān)鍵詞:多路信號(hào)采集;FPGA;A/D轉(zhuǎn)換;VHDLThe Design of Muti-channel Signal Collector based on FPGAAbstractSignal acquisition is the hub between the signal and the controller, The quality of the signal collected and the speed will seriously affect the quality control.But, there are various signal in nature, complex environment, and demanding control of the signal varies, All makes signal acquisition has been the technical difficulties.This paper attempts to design a multi、FPGA-based signal acquisition device. With traditional data acquisition system to a microcontroller or DSP as a controller, FPGA has high integration, the strong ability to achieve logic,fast and good design flexibility and so on. Especially in the parallel signal processing advantages than the DSP. In signal processing, often require multiple signal acquisition and real-time processing, this is also the goal of this articleFirst, this paper introduces the latest data acquisition technology, then make the device more traditional design of the overall system. In terms of hardware, introduced the principle and performance of the structure of sensor, measuring channel, FPGA chip. Data processing design for the software platform Quartus,Use of VHDL as a programming language and top-down design.Most functions in the software simulation program requirements on the results obtained, digital channels in the actual circuit which has been verified.Key words: multi-channel signal acquisition;FPGA;A/D converter;VHDL目 錄摘 要IAbstractII目 錄III第1章 引言11.1 系統(tǒng)設(shè)計(jì)背景11.1.1 研究目的和意義11.1.2 國(guó)內(nèi)外研究現(xiàn)狀21.2 系統(tǒng)工作原理31.3 課題任務(wù)31.4 論文安排4第2章 多路信號(hào)采集器的總體設(shè)計(jì)52.1 系統(tǒng)總體方案52.1.1 系統(tǒng)分析52.1.2 理論知識(shí)62.2 系統(tǒng)結(jié)構(gòu)框圖7第3章 硬件設(shè)計(jì)93.1 控制器93.1.1 FPGA結(jié)構(gòu)原理93.1.2 Altera公司的FLEX10K103.2 傳感器113.2.1 傳感器的分類113.2.2 傳感器的選用原則123.2.3 傳感器選型143.3 測(cè)量通道183.3.1 測(cè)量通道的選擇183.3.2 調(diào)理電路193.3.3多路模擬開關(guān)203.3.4 采樣保持器213.3.5 A/D轉(zhuǎn)換模塊223.4 顯示模塊233.4.1 LCD1602簡(jiǎn)介243.4.2 LCD1602主要技術(shù)參數(shù)和引腳243.5 UART通信模塊253.6 時(shí)鐘電路27第4章 軟件設(shè)計(jì)284.1 編程語言VHDL284.1.1 VHDL簡(jiǎn)介284.1.2 開發(fā)軟件Quartus294.2 程序設(shè)計(jì)304.2.1 系統(tǒng)總程序304.2.2 CD4051地址譯碼程序314.2.2 TLC5510轉(zhuǎn)換程序314.2.3 LCD1602顯示程序324.2.4 讀DS18B20溫度傳感器344.2.5 與PC機(jī)通信程序35第5章 調(diào)試及總結(jié)385.1 系統(tǒng)調(diào)試385.2 總結(jié)39參考文獻(xiàn)41附錄 A 系統(tǒng)電路原理圖43附錄 B 模擬開關(guān)控制程序44附錄 C 26分頻程序46附錄 D UART通信程序47致 謝48第1章 引言1.1 系統(tǒng)設(shè)計(jì)背景1.1.1 研究目的和意義(1)數(shù)據(jù)采集系統(tǒng)是計(jì)算機(jī)測(cè)控系統(tǒng)中不可或缺的組成部分,是影響測(cè)控系統(tǒng)的精度等性能指標(biāo)的關(guān)鍵因素之一,常用數(shù)據(jù)采集方案是以微處理器為核心控制多個(gè)通道的信號(hào)采集、預(yù)處理、存儲(chǔ)和傳輸,即用軟件實(shí)現(xiàn)數(shù)據(jù)的采集,這在一定程度上局限了數(shù)據(jù)采集的速度、效率及時(shí)序的精確控制。20世紀(jì)80年代起步的FPGA(Field Programmable Gate Array),現(xiàn)場(chǎng)可編程門陣列)技術(shù)近年來發(fā)展非常迅速,并廣泛應(yīng)用于通信、自動(dòng)控制、儀器儀表、信息處理等諸多領(lǐng)域1。現(xiàn)在的FPGA器件具有高集成度、高時(shí)鐘頻率、時(shí)序控制精確、編程靈活等明顯優(yōu)于普通微處理器的特點(diǎn),因此系統(tǒng)如果采用FPGA為核心控制ADC和數(shù)據(jù)傳輸,這樣可達(dá)到預(yù)期要求,并簡(jiǎn)化外圍電路,降低設(shè)計(jì)風(fēng)險(xiǎn),縮短開發(fā)周期2。FPGA的出現(xiàn)是超大規(guī)模集成電路(VLSI)技術(shù)和計(jì)算機(jī)輔助設(shè)計(jì)(CAD)技術(shù)飛速發(fā)展的結(jié)果。FPGA器件集成度高、體積小,具有用戶可編程實(shí)現(xiàn)專門應(yīng)用的功能。它允許電路設(shè)計(jì)者利用基于計(jì)算機(jī)的開發(fā)平臺(tái),經(jīng)過設(shè)計(jì)輸入、仿真、測(cè)試和驗(yàn)證,實(shí)現(xiàn)預(yù)期的結(jié)果。況且FPGA是近幾年集成電路中發(fā)展最快的產(chǎn)品。隨著可編程邏輯器件的高速發(fā)展,可編程邏輯器件將進(jìn)一步擴(kuò)大其領(lǐng)地。據(jù)IC Insights的數(shù)據(jù)顯示,可編程邏輯器件市場(chǎng)從1999年的29億美元增長(zhǎng)到2004的56億美元,幾乎翻了一番??删幊踢壿嬈骷⒗^續(xù)向著更高密度和更大容量方向邁進(jìn)1。(2)與傳統(tǒng)的數(shù)據(jù)采集器采用的是基于單片機(jī)或者DSP作為控制器, FPGA在抗干擾和速度上有很大優(yōu)勢(shì)。其特點(diǎn)如下: 1、FPGA運(yùn)行速度快。FPGA內(nèi)部集成鎖項(xiàng)環(huán),可以把外部時(shí)鐘倍頻,核心頻率調(diào)到到幾百M(fèi)Hz,而單片機(jī)運(yùn)行速度低的多,尤其在高速場(chǎng)合,單片機(jī)無法代替FPGA。2、FPGA管腳多,容易實(shí)現(xiàn)大規(guī)模系統(tǒng)。單片機(jī)I/O口有限,而FPGA動(dòng)輒數(shù)百I/O,可以方便連接外設(shè)。比如一個(gè)系統(tǒng)有多路ADC,單片機(jī)要進(jìn)行仔細(xì)的資源分配,總線隔離,而FPGA由于豐富的I/O資源,可以很容易用不同I/O連接各外設(shè)。3、FPGA內(nèi)部程序并行運(yùn)行,有處理更復(fù)雜功能的能力。單片機(jī)程序是串行執(zhí)行的,執(zhí)行完一條才能執(zhí)行下一條,在處理突發(fā)事件時(shí)只能調(diào)用有限的中斷資源,而FPGA不同邏輯可以并行執(zhí)行,可以同時(shí)處理不同的任務(wù),這就使得FPGA工作更有效率。4、FPGA有大量軟核,可以方便進(jìn)行二次開發(fā)。FPGA甚至包含單片機(jī)和DSP軟核,并且I/O數(shù)僅受FPGA自身I/O限制,所以,F(xiàn)PGA又是單片機(jī)和DSP的超集,也就是說,單片機(jī)和DSP能實(shí)現(xiàn)的功能,F(xiàn)PGA一般都能實(shí)現(xiàn)。綜上所述,基于FPGA的研究將會(huì)是繼單片機(jī)后的又一個(gè)巨大產(chǎn)業(yè),自然以FPGA作為處理器也將會(huì)有廣闊的前景和重要意義。所以借做多路數(shù)據(jù)采集器的系統(tǒng)設(shè)計(jì)的機(jī)會(huì),選擇FPGA作為控制器,并借此機(jī)會(huì)來系統(tǒng)地學(xué)習(xí)、學(xué)好FPGA。1.1.2 國(guó)內(nèi)外研究現(xiàn)狀對(duì)于信號(hào)采集器,在外圍硬件電路上已經(jīng)有了非常經(jīng)典的且固定方案。然而近年來,其控制芯片由原來的單片機(jī)發(fā)展到數(shù)字處理芯片DSP,嵌入式芯片等速度更高功能更強(qiáng)的芯片。在高性能數(shù)據(jù)采集系統(tǒng)中,通常采用單片機(jī)或DSP(數(shù)字信號(hào)處理器)作為控制器,控制A/D(模數(shù)轉(zhuǎn)換器)、存儲(chǔ)器和其他外圍電路的工作。然而相對(duì)高速且性能能良好的FPGA,則無論是單片機(jī)或是DSP都有一些不足。首先,單片機(jī)的時(shí)鐘頻率偏低,各種功能都要靠軟件的運(yùn)行來實(shí)現(xiàn),軟件的運(yùn)行時(shí)間在整個(gè)的采樣時(shí)間中占有很大的比重,因而效率偏低,難以滿足高速數(shù)據(jù)采集系統(tǒng)的要求。而DSP的運(yùn)算速度快,擅長(zhǎng)處理密集的乘加運(yùn)算,但完成對(duì)外圍的復(fù)雜硬件邏輯控制難度很大。以軟件方式控制操作和運(yùn)算的系統(tǒng)速度顯然無法與純硬件系統(tǒng)相比,因?yàn)檐浖峭ㄟ^順序執(zhí)行指令的方式來完成控制和運(yùn)算步驟的,而用HDL語言描述的系統(tǒng)以并行方式工作的。以對(duì)A/D進(jìn)行數(shù)據(jù)采樣控制為例,采樣周期包括對(duì)A/D工作時(shí)序的控制和將每一次獲得的數(shù)據(jù)存入RAM(或FIFO)中。工作于12MHz晶振頻率的MCS-51系列單片機(jī)對(duì)A/D控制的采樣頻率為20KHz上下,即約每秒兩萬次。但若用FPGA中設(shè)計(jì)的狀態(tài)機(jī)來完成同樣的工作,如對(duì)于具有流水線采樣工作時(shí)序的A/D來說,只需兩個(gè)狀態(tài)即可完成一次采樣,狀態(tài)間轉(zhuǎn)換的時(shí)間僅為一個(gè)時(shí)鐘周期,而如果FPGA的工作頻率是100MHz,則采樣頻率可達(dá)50MHz。這在算法方面同樣具有巨大的優(yōu)勢(shì)。用FPGA實(shí)現(xiàn)32階8位FIR濾波器的處理速度為105MSPS時(shí),用DSP芯片實(shí)現(xiàn)的濾波器要達(dá)到相當(dāng)速度,則需要指令執(zhí)行速度為3360MIPS。然而目前還沒有如此高速的單處理器的DSP芯片。由此可見,在一些DSP芯片不能滿足數(shù)字信號(hào)處理要求的場(chǎng)合,可以采用FPGA來完成數(shù)字信號(hào)處理26。 目前在國(guó)際上,以FPGA芯片生產(chǎn)廠商為主的公司在基于FPGA設(shè)計(jì)信號(hào)處理算法的綜合研究方面處于領(lǐng)先地位。而且由于FPGA芯片生產(chǎn)廠商對(duì)本廠生產(chǎn)芯片性能上的了解,設(shè)計(jì)的處理器可以最大限度的發(fā)揮芯片的性能。例如Xilinx公司推出了140MHz時(shí)鐘頻率下處理速度達(dá)到1us的1024點(diǎn)FFT處理模塊,其采用的是800萬門VirtexII器件實(shí)現(xiàn)。Altera公2005司年推出的FFT IP核全面支持該公司的最新器件,使用此IP核計(jì)算16位1024點(diǎn)FFT僅需要6.63us。國(guó)內(nèi)外學(xué)者在利用FPGA實(shí)現(xiàn)信號(hào)處理算法方面做了大量的工作,并取得了良好的效益。我國(guó)的FPGA技術(shù)起步相對(duì)較晚,但進(jìn)入21世紀(jì)后,發(fā)展非常迅速。目前不少大學(xué)及研究所都使用FPGA芯片設(shè)計(jì)開發(fā)具有自主知識(shí)產(chǎn)權(quán)的IP核3。1.2 系統(tǒng)工作原理 根據(jù)國(guó)際電氣和電子工程師學(xué)會(huì)IEEE(International Institute for Electrical and Electronic Engineers)的定義:嵌入式系統(tǒng)是控制、監(jiān)視或者輔助設(shè)備、機(jī)器和車間運(yùn)行的裝置4。本設(shè)計(jì)系統(tǒng)屬于一個(gè)過程控制的嵌入式系統(tǒng),核心部件即微控制器采用FPGA,主要部件是測(cè)量通道和與PC機(jī)通信接口部件,基礎(chǔ)部件有時(shí)鐘電路和電源供給部分,還有就是顯示可用方案 CRT、LCD、LED。工作原理:系統(tǒng)在軟件的支持下通過FPGA的I/O口產(chǎn)生譯碼地址來控制多路模擬開關(guān)按一定的時(shí)間周期選通電路出入信號(hào),并用周期更長(zhǎng)的時(shí)鐘來控制采樣保持器和A/D轉(zhuǎn)換器,以實(shí)現(xiàn)循環(huán)讀入數(shù)據(jù)并且實(shí)時(shí)顯示數(shù)據(jù)(該系統(tǒng)借助LCD),最后通過通用異步收發(fā)器UART(Universal Asynchronous Receiver Transmitter)往PC機(jī)實(shí)時(shí)存入數(shù)據(jù),且要求歷史數(shù)據(jù)可被查詢。1.3 課題任務(wù)該數(shù)據(jù)采集器設(shè)計(jì)要求的基本性能如下:1)至少能采集三種以上不同傳感器輸出的信號(hào),即溫度傳感器、壓力傳感器、液位傳感器;2)調(diào)理傳感器輸出信號(hào),使其幅值達(dá)到集成芯片能接受的值即15V或420mA;3)實(shí)現(xiàn)三通道同步循環(huán)采樣,采樣頻率50HZ500HZ,采樣精度8位;4)用LCD1602實(shí)時(shí)顯示三路信號(hào);5)利用UART實(shí)時(shí)存儲(chǔ)采集數(shù)據(jù)。根據(jù)上述性能指標(biāo),整個(gè)系統(tǒng)的關(guān)鍵是控制芯片的設(shè)計(jì)。本設(shè)計(jì)所用的FPGA采用外部時(shí)鐘源來提供系統(tǒng)工作時(shí)鐘,晶振的時(shí)鐘頻率為4MHz,在軟件編程的基礎(chǔ)上,對(duì)外部時(shí)鐘進(jìn)行分頻產(chǎn)生不同的時(shí)鐘信號(hào),來分別控制各外部器件協(xié)調(diào)工作。那么采樣保持選用的通用型器件LF398,其響應(yīng)速度快精度高,A/D轉(zhuǎn)換器則采用TLC5510高速轉(zhuǎn)換器為8位輸出,而數(shù)字信號(hào)則直接讀入FPGA經(jīng)處理輸出到LCD1602上顯示,并保存到上位機(jī)上。課題的主要工作為系統(tǒng)的軟件設(shè)計(jì)和硬件設(shè)計(jì)兩部分:軟件設(shè)計(jì)主要完成模擬信號(hào)測(cè)量轉(zhuǎn)換電路的時(shí)序控制和FPGA內(nèi)數(shù)據(jù)預(yù)處理模塊、數(shù)據(jù)采集模塊、LCD顯示模塊、通信控制器模塊的設(shè)計(jì)及仿真;硬件部分首先完成模擬信號(hào)采集電路和數(shù)字電路Protel原理圖繪制,然后根據(jù)原理圖選擇合適器件并搭建電路,最后連接傳感器和液晶顯示器下載程序進(jìn)行調(diào)試。1.4 論文安排本論文采用三級(jí)目錄格式撰寫,共分為五章。具體內(nèi)容安排:第1章引言,闡述了選題背景和意義,分析了國(guó)內(nèi)外相關(guān)技術(shù)的發(fā)展動(dòng)態(tài)及研究現(xiàn)狀,并對(duì)本論文的主要工作進(jìn)行了介紹。第2章,通過對(duì)信號(hào)采集和處理中涉及的信號(hào)、測(cè)量控制通道、通信接口等各部分綜合分析,確定本系統(tǒng)的總體方案,并給出系統(tǒng)總體結(jié)構(gòu)框圖,為后續(xù)設(shè)計(jì)做準(zhǔn)備。第3章,先簡(jiǎn)單介紹了FPGA的結(jié)構(gòu)原理、設(shè)計(jì)技術(shù),并對(duì)其選型和性能進(jìn)行分析。然后詳細(xì)介紹了多通道采樣模塊中信號(hào)調(diào)理電路、A/D轉(zhuǎn)換電路、輸入輸出接口、顯示模塊,并詳細(xì)介紹了所用到的主要器件性能。第4章,先對(duì)對(duì)系統(tǒng)軟件開發(fā)平臺(tái)和編程語言進(jìn)行了介紹,后面詳細(xì)介紹了程序設(shè)計(jì)思想和設(shè)計(jì)流程,且配備了仿真結(jié)果。第5章,主要講系統(tǒng)調(diào)試,記錄了程序下載和調(diào)試的過程及結(jié)果,并作了簡(jiǎn)單分析。結(jié)論部分則是對(duì)整個(gè)論文的工作成果進(jìn)行總結(jié),也有設(shè)計(jì)本課題未完成的遺憾,并提出了進(jìn)一步的展望。第2章 多路信號(hào)采集器的總體設(shè)計(jì)2.1 系統(tǒng)總體方案2.1.1 系統(tǒng)分析基于FPGA的多路信號(hào)采集器系統(tǒng)涉及的內(nèi)容包括,信號(hào)的采集,數(shù)據(jù)的傳輸,數(shù)據(jù)的處理和存儲(chǔ),實(shí)時(shí)信息的顯示。如前所述系統(tǒng)的工作流程為:首先要對(duì)多通道模擬信號(hào)進(jìn)行模數(shù)轉(zhuǎn)換,然后對(duì)其進(jìn)行緩存處理和必要的轉(zhuǎn)換,最后將處理后的結(jié)果傳送到PC機(jī)。這需要結(jié)合各個(gè)模擬傳感器輸出的信號(hào)的特點(diǎn)和系統(tǒng)各項(xiàng)指標(biāo)選擇合適的調(diào)理電路、A/D轉(zhuǎn)換、信號(hào)處理器和顯示模塊等。前端模擬部分前人已做了大量的研究工作,技術(shù)比較成熟,方案比較固定,本節(jié)重點(diǎn)針對(duì)數(shù)字部分的方案進(jìn)行討論。1、信號(hào)處理器的選擇在高性能數(shù)據(jù)采集系統(tǒng)中,傳統(tǒng)方法多采用單片機(jī)或DSP(數(shù)字信號(hào)處理器)作為控制器,控制A/D轉(zhuǎn)換、存儲(chǔ)器和其他外圍電路的工作。單片機(jī)的時(shí)鐘頻率較低,各種功能都要靠軟件的運(yùn)行來實(shí)現(xiàn),軟件運(yùn)行時(shí)間在整個(gè)采樣時(shí)間中占很大的比例,效率低,難以適應(yīng)高速數(shù)據(jù)采集系統(tǒng)的要求。DSP雖然運(yùn)算速度快,擅長(zhǎng)處理密集的乘加運(yùn)算,但很難完成外圍的復(fù)雜硬件邏輯控制5?;趩纹瑱C(jī)和DSP設(shè)計(jì)的數(shù)據(jù)采集系統(tǒng)都有一定的不足,在高速數(shù)據(jù)采集方面,F(xiàn)PGA顯示出兩者無法比擬的優(yōu)勢(shì)。因?yàn)镕PGA鐘頻率高,內(nèi)部時(shí)延小,全部控制邏輯由硬件完成,速度快,組成形式靈活,可以集成外圍控制、譯碼和接口電路;最重要的是FPGA可以采用IP內(nèi)核技術(shù),通過繼承、共享或購買所需的知識(shí)產(chǎn)權(quán)內(nèi)核提高開發(fā)進(jìn)度。利用EDA工具進(jìn)行設(shè)計(jì)、綜合和驗(yàn)證,加速了設(shè)計(jì)過程,降低了開發(fā)風(fēng)險(xiǎn),縮短了開發(fā)周期,效率高,而且更能適應(yīng)市場(chǎng)。綜合考慮,本設(shè)計(jì)最終采用單片F(xiàn)PGA作為核心控制與處理芯片,完成信號(hào)采集和處理等功能。FPGA的I/O端口多、可在線編程、便于擴(kuò)展等眾多優(yōu)勢(shì)將在本設(shè)計(jì)中展現(xiàn)。2、傳輸總線接口的選擇傳統(tǒng)的數(shù)據(jù)采集系統(tǒng)的數(shù)據(jù)傳輸接口在低速時(shí)常采用標(biāo)準(zhǔn)串行口或并行口,高速時(shí)一般采用PCI總線接口。它們各自的特點(diǎn)如下:標(biāo)準(zhǔn)串行口或并行口應(yīng)用開發(fā)比較簡(jiǎn)單,即硬件電路和編程簡(jiǎn)單但是數(shù)據(jù)傳輸速率較低;PCI總線數(shù)據(jù)傳輸速率高,可以達(dá)到IGbps,但是硬件設(shè)計(jì)和驅(qū)動(dòng)開發(fā)難度較大,PCI卡的尺寸面積限制了I/O接口的擴(kuò)展,不能在筆記本電腦或便攜式PC上安裝,而且驅(qū)動(dòng)程序安裝使用不方便;目前流行的USB2.0傳輸協(xié)議的傳輸速率最高可達(dá)480Mbps,而且其接口簡(jiǎn)單、便攜、可熱插拔的優(yōu)點(diǎn)然而用硬件描述語言來開發(fā)的難度太大。由于本設(shè)計(jì)要求的數(shù)據(jù)傳輸速度不高,考慮到編程的快捷和連接電路的簡(jiǎn)單,及其系統(tǒng)的穩(wěn)定性和經(jīng)濟(jì)。本設(shè)計(jì)系統(tǒng)采用通用串行通信接口RS-232。2.1.2 理論知識(shí)根據(jù)系統(tǒng)分析有必要介紹一下關(guān)鍵的理論知識(shí),即信息論基礎(chǔ)和采樣理論和采樣方式6。1、信息論基礎(chǔ)。信息論是運(yùn)用概率論與數(shù)理統(tǒng)計(jì)的方法研究信息、信息熵、通信系統(tǒng)、數(shù)據(jù)傳輸、加密學(xué)、數(shù)據(jù)壓縮等問題的應(yīng)用數(shù)學(xué)學(xué)科。信息與物質(zhì)和能量息息相關(guān),但又與物質(zhì)和能量有本質(zhì)的區(qū)別。信息是具體的,并且可以被人(動(dòng)物、機(jī)器等)所感知、提取、識(shí)別,可以被傳遞、存儲(chǔ)、變換、處理、顯示、檢索和利用。信息的傳遞要伴隨能量的傳遞,信息在傳遞過程中的物理表現(xiàn)形式就是信號(hào)“0011”。信息技術(shù)是指獲取、傳遞、處理和利用信息的技術(shù),是一個(gè)綜合性的科學(xué)技術(shù)體系,它涉及下列許多學(xué)科與技術(shù)分支:(1) 信息獲取技術(shù):主要涉及到獲取自然信息的技術(shù),包括傳感器技術(shù)、測(cè)量 技術(shù)和存儲(chǔ)技術(shù)等。數(shù)據(jù)采集技術(shù)就是信息獲取技術(shù);(2) 信息傳遞技術(shù):包括各種信息的發(fā)送、傳輸、接收、顯示、記錄技術(shù),特別是人機(jī)信息交換技術(shù);(3) 信息處理技術(shù):包括各種信息的變換、加工、放大、濾波、提取、壓縮等技術(shù),特別是數(shù)字信號(hào)處理與人工智能技術(shù);(4) 信息利用技術(shù):包括各種利用信息進(jìn)行控制、操縱、指揮、管理決策的技術(shù)。2、采樣理論和采樣方式。自然界中,需要獲取的信號(hào)絕大部分是時(shí)間上和幅值上連續(xù)變化的模擬量,而機(jī)器能識(shí)別的信號(hào)是離散的數(shù)字量,于是很自然就牽涉到信號(hào)的提取和轉(zhuǎn)換。 采樣定理:設(shè)連續(xù)信號(hào) x(t)的頻譜為 W(f),以采樣間隔 T 采樣將到的離散信號(hào)為 X(nT)。如果 x(t)有截止頻率 fc,即當(dāng) f=fc時(shí),W(f)=0,且滿足 (2-1)時(shí),離散信號(hào) X(nT)完全能確定連續(xù)信號(hào) x(t)。理論上,只要采樣頻率大于兩倍的截止頻率,采樣信號(hào)都能被重構(gòu)。但在實(shí)際數(shù)據(jù)采集系統(tǒng)中,采樣的頻率一般是幾倍、十幾倍或幾十倍于截止頻率。然而采樣頻率也不能太高,否則對(duì) ADC 采樣速度的要求也越高,同時(shí)得到的數(shù)據(jù)也越多,對(duì)計(jì)算機(jī)的處理能力也要求越高,那么很自然就會(huì)增加系統(tǒng)開發(fā)的難度和成本。2.2 系統(tǒng)結(jié)構(gòu)框圖本設(shè)計(jì)系統(tǒng)的功能是完成同時(shí)對(duì)三路不同信號(hào)的同時(shí)采集,為此針對(duì)不同的傳感器設(shè)計(jì)了不同的調(diào)理電路,然而考慮到硬件資源的節(jié)省,采用同一個(gè)數(shù)據(jù)傳輸通道實(shí)行分時(shí)傳送,即用CD4051作為模擬通道的開關(guān),這是一種能實(shí)現(xiàn)對(duì)8路信號(hào)控制的開關(guān),用LF398作為采樣保持器,A/D轉(zhuǎn)換采用TLC5510高數(shù)采樣轉(zhuǎn)換器件。至于歷史數(shù)據(jù)的保存,本系統(tǒng)則利用和PC機(jī)之間的串口采用UART通訊協(xié)議保存到上位機(jī)上,顯示則通過LCD1602來實(shí)現(xiàn)。系統(tǒng)的采集信號(hào)詳細(xì)信息如表2.1所示。表2.1 采集信息列表序號(hào)采樣信號(hào)名稱性質(zhì)(開關(guān)、模擬)傳感器占用硬件資源1溫度數(shù)字量DS18B20占用一個(gè)I/O口2壓力模擬量PJT204一個(gè)模擬測(cè)量輸入口3液位模擬量DX130一個(gè)模擬測(cè)量輸入口根據(jù)上述分析,確定系統(tǒng)方案?;贔PGA的多信號(hào)據(jù)采集器的系統(tǒng)硬件結(jié)構(gòu)框圖如下圖2.1所示。圖2.1 系統(tǒng)結(jié)構(gòu)框圖在圖2.1中,系統(tǒng)的核心部件是控制器(FPGA)、時(shí)鐘電路;重要部件是測(cè)量通道,包括傳感器及其調(diào)理電路、模擬多路開關(guān)、采樣保持、A/D轉(zhuǎn)換模塊,LCD液晶顯示和上位機(jī)通信模塊;基礎(chǔ)部件是電源供給電路。1、控制器。在本設(shè)計(jì)系統(tǒng)中,由于各個(gè)部件的時(shí)鐘和時(shí)序不一,并且還要對(duì)采集的數(shù)據(jù)進(jìn)行算術(shù)運(yùn)算和邏輯判斷等工作,所以要求控制芯片要有足夠強(qiáng)的運(yùn)算能力和足夠多的輸入輸出管腳。本設(shè)計(jì)采用的Altera公司的FLEX10KEPF10K20RC208-4型FPGA芯片。該芯片具有具有上萬邏輯門,一百多個(gè)用戶可自定義的引腳,所以結(jié)合外部晶振提供的時(shí)鐘完全能夠提供精確的時(shí)序控制。2、測(cè)量通道。隨著科學(xué)技術(shù)尤其是微電子技術(shù)的進(jìn)步和發(fā)展,傳感器也出現(xiàn)大量的數(shù)字型(即把調(diào)理和模數(shù)轉(zhuǎn)換集成到一個(gè)微小器件上)。所以,對(duì)于溫度的采集可選用DS18B20直接連接到控制芯片上作為一個(gè)單獨(dú)的采集通道。盡管,F(xiàn)PGA的引腳眾多功能強(qiáng)大,完全可以支持多通道同步采集,為了節(jié)約硬件資源,模擬信號(hào)的傳輸轉(zhuǎn)換通道由通用型采樣保持器LF398和高效率的A/D轉(zhuǎn)換器TLC5510組成。針對(duì)不同傳感器對(duì)調(diào)理電路要求不一樣,本系統(tǒng)也為選擇的壓力和液位傳感器設(shè)計(jì)調(diào)理電路,并將在在后續(xù)的章節(jié)中介紹。3、實(shí)時(shí)顯示選用LCD1602。在小規(guī)模顯示控制系統(tǒng)中,常用的顯示的器件無外乎LED數(shù)碼管和LCD液晶器件。由于本系統(tǒng)中采集的信號(hào)不同和精度不等,如選用具有同樣功耗低、體積小、抗干擾強(qiáng)和壽命長(zhǎng)的LED顯然要求更多的硬件,電路和編程也將更加復(fù)雜。4、在與上位機(jī)的通信中該系統(tǒng)用的是通用異步通信(UART),對(duì)于本設(shè)計(jì)來說,實(shí)時(shí)存儲(chǔ)的數(shù)據(jù)量不大,所以傳輸速度要求并不高,況且UART接線簡(jiǎn)單穩(wěn)定性好,編程也易實(shí)現(xiàn)。第3章 硬件設(shè)計(jì)本章的主要內(nèi)容是根據(jù)前面系統(tǒng)結(jié)構(gòu)框圖展開對(duì)各部分電路設(shè)計(jì),包括對(duì)元器件和設(shè)備選型與參數(shù)計(jì)算。3.1 控制器3.1.1 FPGA結(jié)構(gòu)原理現(xiàn)場(chǎng)可編程門陣列(FPGA)是八十年代中期出現(xiàn)的新型高密度可編程邏輯器件,它是在PAL,GAL,EPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物,隨著工藝技術(shù)的發(fā)展與市場(chǎng)的需求,超大規(guī)模、高速、低功耗的新型FPGA/CPLD不斷推陳出新7。簡(jiǎn)化的FPGA基本由6部分組成:可編程輸入/輸出單元、基本可編程邏輯單元、嵌入式塊RAM、豐富的布線資源、底層嵌入功能單元和內(nèi)嵌專用硬核。目前大多數(shù)FPGA的I/O單元被設(shè)計(jì)為可編程式,即通過軟件的靈活設(shè)置,可以匹配不同的電氣標(biāo)準(zhǔn)與I/O物理特性。基本可編程邏輯單元是可編程邏輯的主體,可靈活改變其內(nèi)部連接與配置,完成不同的邏輯功能。FPGA一般是基于SRAM工藝,其基本可編程邏輯單元通常由查找表(Look Up Table, LUT)和寄存器(Register )組成。目前大多數(shù)FPGA都有內(nèi)嵌的塊RAM ( Block RAM ),大大地拓展了應(yīng)用范圍和使用靈活性??伸`活的配置為單口RAM ( SinglePort RAM, SPRAM )、雙口RAM (Double Port RAM,DPRAM)、偽雙口RAM ( PseudoDPRAM ),CAM ( Content Addressable Memory)和FIFO ( First In First Out)等常用結(jié)構(gòu)22。布線資源連通FPGA內(nèi)部所有單元,連線長(zhǎng)度和工藝方式?jīng)Q定著信號(hào)在線上的驅(qū)動(dòng)能力和傳輸速度。底層嵌入功能單元指那些通用度較高的嵌入功能模塊,比如PLL ( Phase Locked Loop )。 DLL ( Delay Locked Loop ), DSP和CPU等。內(nèi)嵌專用硬核是相對(duì)于前面的“底層嵌入功能單元”而言,不為大多數(shù)FPGA所包含的硬核。比如為了提高FPGA性能,適用高速通信總線與接口標(biāo)準(zhǔn),很多高端FPGA集成了SERDES(串并收發(fā)器)等專用硬核。查找表(Look-Up-Table) 簡(jiǎn)稱為L(zhǎng)UT,LUT 本質(zhì)上就是一個(gè)RAM。目前FPGA中多使用4輸入的LUT,所以每一個(gè)LUT可以看成一個(gè)有4位地址線的RAM。當(dāng)用戶通過原理圖或HDL語言描述了一個(gè)邏輯電路以后,CPLD/FPGA開發(fā)軟件會(huì)自動(dòng)計(jì)算邏輯電路的所有可能結(jié)果,并把真值表(即結(jié)果)事先寫入RAM,這樣,每輸入一個(gè)信號(hào)進(jìn)行邏輯運(yùn)算就等于輸入一個(gè)地址進(jìn)行查表,找出地址對(duì)應(yīng)的內(nèi)容,然后輸出即可。表3.1 輸入與門的真值表實(shí)際邏輯電路LUT的實(shí)現(xiàn)方式A,b,c,d輸入邏輯輸出RAM地址RAM中存儲(chǔ)的內(nèi)容000000000000010000101111111111從表中可以看到,LUT具有和邏輯電路相同的功能。實(shí)際上,LUT具有更快的執(zhí)行速度和更大的規(guī)模。由于基于LUT 的FPGA 具有很高的集成度,其器件密度從數(shù)萬門到數(shù)千萬門不等,可以完成極其復(fù)雜的時(shí)序與邏輯組合邏輯電路功能,所以適用于高速、高密度的高端數(shù)字邏輯電路設(shè)計(jì)領(lǐng)域。其組成部分主要有可編程輸入/輸出單元、基本可編程邏輯單元、內(nèi)嵌SRAM、豐富的布線資源、底層嵌入功能單元、內(nèi)嵌專用單元等8。3.1.2 Altera公司的FLEX10KFPGA主要設(shè)計(jì)和生產(chǎn)廠家有賽靈思、Altera、Lattice、Actel、Atmel 和QuickLogic 等公司。Altera的FLEX10K器件是工業(yè)界首例嵌入式PLD?;诳芍嘏渲肅MOS SRAM元件,靈活的邏輯元件矩陣結(jié)構(gòu)結(jié)合了所有實(shí)現(xiàn)常規(guī)門陣列兆功能所需要的特性。由于具有高達(dá)250000的門單元,F(xiàn)LEX10K系列提供了密度、速度和集成整個(gè)系統(tǒng)。本設(shè)計(jì)中采用的控制芯片擁有的邏輯門為2萬多個(gè),RAM位數(shù)為12288,邏輯列快144個(gè),邏輯單元數(shù)1152個(gè),可自定義的引腳189個(gè)(總共為208個(gè))其器件結(jié)構(gòu)如圖3.1所示18。圖3.1 FLEX10K器件結(jié)構(gòu)這種FPGA的結(jié)構(gòu)可分為三塊:宏單元(Macrocell)、可編程連線(PIA)和I/O控制塊。宏單元是器件的基本結(jié)構(gòu),由它來實(shí)現(xiàn)基本的邏輯功能;可編程連線負(fù)責(zé)信號(hào)傳遞,連接所有的宏單元;I/O控制塊負(fù)責(zé)輸入輸出的電氣特性控制,比如可以設(shè)定集電極開路輸出,擺率控制,三態(tài)輸出等。INPUT/GCLK1,INPUT/GCLRn,INPUT/OE1,INPUT/OE2是全局時(shí)鐘、清零和輸出使能信號(hào),這幾個(gè)信號(hào)有專用連線與器件中每個(gè)宏單元相連,信號(hào)到每個(gè)宏單元的延時(shí)相同并且延時(shí)最短21。該器件具有良好的性能特性:高密度低功耗;高速度連接方式靈活;支持多電壓I/O口;多種配置方式(內(nèi)置JTAG邊界掃描測(cè)試電路);多種封裝形式,TOFP、PQFP、BGA和PLC等。3.2 傳感器3.2.1 傳感器的分類傳感器(transducer / sensor):能感受規(guī)定的被測(cè)量并按照一定的規(guī)律轉(zhuǎn)換成可用信號(hào)的器件或裝置,通常由敏感元件、轉(zhuǎn)換元件和轉(zhuǎn)換電路組成20。組成框圖如圖3.2所示。圖3.2 傳感器組成框圖然而傳感器是一門密集型技術(shù),其原理各種個(gè)各樣,它與許多學(xué)科有關(guān),種類繁多,分類方法也很多,目前廣泛采用的分類方法有如下幾種。1、根據(jù)傳感器工作原理,可分為物理型、化學(xué)型、生物型等。物理型傳感器應(yīng)用的是物理效應(yīng),諸如壓電效應(yīng),磁致伸縮現(xiàn)象,離化、極化、熱電、光電、磁電等效應(yīng)。被測(cè)信號(hào)量的微小變化都將轉(zhuǎn)換成電信號(hào)。化學(xué)傳感器包括那些以化學(xué)吸附、電化學(xué)反應(yīng)等現(xiàn)象為因果關(guān)系的傳感器,被測(cè)信號(hào)量的微小變化也將轉(zhuǎn)換成電信號(hào)。2、根據(jù)傳感器的用途,可分為溫度傳感器、壓力傳感器、流量傳感器、液位傳感器、成分傳感器、濕度傳感器、位移傳感器等。3、根據(jù)輸出信號(hào),可分為模擬傳感器將被測(cè)量的非電學(xué)量轉(zhuǎn)換成模擬電信號(hào);數(shù)字傳感器將被測(cè)量的非電學(xué)量轉(zhuǎn)換成數(shù)字輸出信號(hào)(包括直接和間接轉(zhuǎn)換);膺數(shù)字傳感器將被測(cè)量的信號(hào)量轉(zhuǎn)換成頻率信號(hào)或短周期信號(hào)的輸出(包括直接或間接轉(zhuǎn)換)。開關(guān)傳感器當(dāng)一個(gè)被測(cè)量的信號(hào)達(dá)到某個(gè)特定的閾值時(shí),傳感器相應(yīng)地輸出一個(gè)設(shè)定的低電平或高電平信號(hào)。4、按照其制造工藝,可以將傳感器區(qū)分為集成傳感器,薄膜傳感器,厚膜傳感器陶瓷傳感器。集成傳感器是用標(biāo)準(zhǔn)的生產(chǎn)硅基半導(dǎo)體集成電路的工藝技術(shù)制造的。通常還將用于初步處理被測(cè)信號(hào)的部分電路也集成在同一芯片上。薄膜傳感器則是通過沉積在介質(zhì)襯底(基板)上的,相應(yīng)敏感材料的薄膜形成的。使用混合工藝時(shí),同樣可將部分電路制造在此基板上;厚膜傳感器是利用相應(yīng)材料的漿料,涂覆在陶瓷基片上制成的,基片通常是AL203制成的,然后進(jìn)行熱處理,使厚膜成形;陶瓷傳感器采用標(biāo)準(zhǔn)的陶瓷工藝或其某種變種工藝(溶膠-凝膠等)生產(chǎn)。完成適當(dāng)?shù)念A(yù)備性操作之后,已成形的元件在高溫中進(jìn)行燒結(jié)。厚膜和陶瓷傳感器這二種工藝之間有許多共同特性,在某些方面,可以認(rèn)為厚膜工藝是陶瓷工藝的一種變型。 3.2.2 傳感器的選用原則現(xiàn)代傳感器在原理與結(jié)構(gòu)上千差萬別,如何根據(jù)具體的測(cè)量目的、測(cè)量對(duì)象以及測(cè)量環(huán)境合理地選用傳感器,是在進(jìn)行某個(gè)非電量的測(cè)量時(shí)首先要解決的問題。(1) 根據(jù)測(cè)量對(duì)象與測(cè)量環(huán)境確定傳感器的類型。要進(jìn)行一個(gè)具體的測(cè)量工作,首先要考慮采用何種原理的傳感器,這要求分析多方面的因素。因?yàn)?,即使是同一物理量,也有多種原理的傳感器可供選擇,哪一種原理的傳感器更為合適,需要根據(jù)被測(cè)量的特點(diǎn)和傳感器的使用條件考慮下面這些具體問題:量程的大??;被測(cè)位置對(duì)傳感器體積的要求;測(cè)量方法為接觸式還是非接觸式;信號(hào)的引出方法有線或是非接觸測(cè)量;還有就是來源,進(jìn)口還是國(guó)產(chǎn),價(jià)錢及是否自行研制9。(2)在考慮上述這些問題后,就能確定選用何種類型的傳感器,然后在考慮傳感器的具體性能指標(biāo)。如:線性度、靈敏度、遲滯、漂移、頻率響應(yīng)等。1、線性度:指?jìng)鞲衅鬏敵隽颗c輸入量之間的實(shí)際關(guān)系曲線偏離擬合直線的程度。定義為在全量程范圍內(nèi)實(shí)際特性曲線與擬合直線之間的最大偏差值與滿量程輸出值之比。通常情況下,傳感器的實(shí)際靜態(tài)特性輸出是條曲線而非直線。在實(shí)際工作中,為使儀表具有均勻刻度的讀數(shù),常用一條擬合直線近似地代表實(shí)際的特性曲線、線性度(非線性誤差)就是這個(gè)近似程度的一個(gè)性能指標(biāo)。擬合直線的選取有多種方法。如將零輸入和滿量程輸出點(diǎn)相連的理論直線作為擬合直線;或?qū)⑴c特性曲線上各點(diǎn)偏差的平方和為最小的理論直線作為擬合直線,此擬合直線稱為最小二乘法擬合直線。2、靈敏度:通常在傳感器的線性范圍內(nèi),希望傳感器的靈敏度越高越好。因?yàn)橹挥徐`敏度高時(shí)與被測(cè)量變化對(duì)應(yīng)的輸出信號(hào)的值才會(huì)比較大,有利于信號(hào)的處理。但是當(dāng)傳感器的靈敏度高時(shí),與被測(cè)量無關(guān)的外界噪聲也容易混入,也會(huì)被放大系統(tǒng)放大而影響測(cè)量精度。所以要求傳感器本身應(yīng)具備較高的信噪比盡量減少從外界引入的干擾信號(hào)。當(dāng)傳感器的輸出、輸入量的量綱相同時(shí),靈敏度可理解為放大倍數(shù)。提高靈敏度,可得到較高的測(cè)量精度。但靈敏度愈高,測(cè)量范圍愈窄,穩(wěn)定性也往往愈差。 3、遲滯:傳感器在輸入量由小到大(正行程)及輸入量由大到小(反行程)變化期間其輸入輸出特性曲線不重合的現(xiàn)象成為遲滯。對(duì)于同一大小的輸入信號(hào),傳感器的正反行程輸出信號(hào)大小不相等,這個(gè)差值稱為遲滯差值。4、漂移:傳感器的漂移是指在輸入量不變的情況下,傳感器輸出量隨著時(shí)間變化,次現(xiàn)象稱為漂移。產(chǎn)生漂移的原因有兩個(gè)方面:一是傳感器自身結(jié)構(gòu)參數(shù);二是周圍環(huán)境(如溫度、濕度等)。 5、分辨率:分辨力是指?jìng)鞲衅骺赡芨惺艿降谋粶y(cè)量的最小變化的能力。也就是說,如果輸入量從某一非零值緩慢地變化。當(dāng)輸入變化值未超過某一數(shù)值時(shí),傳感器的輸出不會(huì)發(fā)生變化,即傳感器對(duì)此輸入量的變化是分辨不出來的。只有當(dāng)輸入量的變化超過分辨力時(shí),其輸出才會(huì)發(fā)生變化。通常傳感器在滿量程范圍內(nèi)各點(diǎn)的分辨力并不相同,因此常用滿量程中能使輸出量產(chǎn)生階躍變化的輸入量中的最大變化值作為衡量分辨力的指標(biāo)。上述指標(biāo)若用滿量程的百分比表示,則稱為分辨率。分辨率與傳感器的穩(wěn)定性有負(fù)相相關(guān)性,且直接影響精度。6、頻率響應(yīng)特性:傳感器的頻率響應(yīng)特性決定了被測(cè)量的頻率范圍,必須在允許頻率范圍內(nèi)保持不失真的測(cè)量條件,實(shí)際上傳感器的響應(yīng)總有一些延遲,希望延遲時(shí)間越短越好。傳感器的頻率響應(yīng)高,可測(cè)的信號(hào)頻率范圍就寬,而由于受到結(jié)構(gòu)特性的影響,機(jī)械系統(tǒng)的慣性較大,因而頻率低的傳感器可測(cè)信號(hào)的頻率低。在動(dòng)態(tài)測(cè)量中,應(yīng)根據(jù)信號(hào)特點(diǎn)(穩(wěn)態(tài)、瞬態(tài)、隨機(jī)等)來確定所需傳感器的頻率響應(yīng)特性,以免產(chǎn)生過大的誤差。還有線性范圍、穩(wěn)定性也得考慮,精度也是傳感器的一個(gè)重要的性能指標(biāo),選取要能滿足測(cè)量要求的精度等級(jí)即可9。3.2.3 傳感器選型根據(jù)上述分析,采集三路信號(hào)的傳感器為,數(shù)字型溫度傳感器DS18B20,壓力傳感器PJT204,液位傳感器為DX130。1、數(shù)字溫度傳感器DS18B20美國(guó)DALLAS公司生產(chǎn)的 DS18B20數(shù)字溫度傳感器,具有體積小,使用方便,封裝形式多樣,適用于各種狹小空間設(shè)備數(shù)字測(cè)溫和控制領(lǐng)域。常見的封裝有3腳、6腳和8腳三種方式,拿3腳舉例說明:DQ為數(shù)字信號(hào)輸入/輸出端;GND為電源地:UDD 為外接供電電源輸入端(在寄生電源接線方式時(shí)接地)。DS18B20主要有四部分組成:64位光刻ROM、溫度傳感器、非揮發(fā)的溫度報(bào)警觸發(fā)裝器TH和TL、配置寄存器。光刻ROM中的64位序列號(hào)是出廠前被光刻好的,可看做是該DS18B20的地址序號(hào)。64位光刻ROM的排序是:開始8位(28H)是產(chǎn)品類型標(biāo)號(hào),接著的48位是該DS18B20自身的序列號(hào),最后的8位是前面56位的循環(huán)冗余校驗(yàn)碼(CRC碼)。光刻ROM的作用是使每一個(gè)DS18B20都各不相同,這樣可實(shí)現(xiàn)一根總線上掛多個(gè)DS18B20 。溫度傳感器可完成對(duì)溫度的測(cè)量,用16位符號(hào)擴(kuò)展的二進(jìn)制補(bǔ)碼讀數(shù)形式提供,以0.0625/LSB形式表達(dá)。例如,+125的數(shù)字輸出為07D0H,見下表3.2。表3.2 溫度轉(zhuǎn)換對(duì)應(yīng)表溫度值二進(jìn)制數(shù)據(jù)輸出16進(jìn)制輸出+1250000 0111 1101 000007D0H+850000 0101 0101 00000550H+25.06250000 0001 1001 00010191H+10.1250000 0000 1010 001000A2H+0.50000 0000 0000 10000008H00000 0000 0000 00000000H-0.51111 1111 1111 1000FFF8H-10.1251111 1111 0101 1110FF5EH-25.06251111 1110 0110 1111FE6FH-551111 1100 1001 0000FC90HDS18B20完成溫度轉(zhuǎn)換后,就把測(cè)得的溫度值與TH、TL(分別為最高和最低檢測(cè)溫度)作比較。若TTH或TTL,則將該器件內(nèi)的警告標(biāo)志位置位,并對(duì)主機(jī)發(fā)出告警搜索命令作出響應(yīng)。高低溫報(bào)警觸發(fā)器TH和TL、配置寄存器均由一個(gè)字節(jié)的E2PROM組成,使用一個(gè)存儲(chǔ)器的功能命令可對(duì)TH、TL或配置急寄存器寫入。配置寄存器由R0和R1組成。R1、R0決定溫度轉(zhuǎn)換的精度位數(shù):R1R0=00時(shí)為9位精度,最大轉(zhuǎn)換時(shí)間187.5ms;R1R0=10時(shí)為11位精度,最大轉(zhuǎn)換時(shí)間375ms;R1R0=11時(shí)為12位精度,最大轉(zhuǎn)換時(shí)間750ms;未編程時(shí)默認(rèn)為12位精度。分辨率設(shè)定及用戶設(shè)定的報(bào)警溫度存儲(chǔ)器在E2PROM中,掉電后仍然保存。高速存儲(chǔ)器是一個(gè)9字節(jié)的存儲(chǔ)器,開始兩個(gè)字節(jié)包含被測(cè)溫度的數(shù)字量信息;第35字節(jié)分別是TH、TL、配置寄存器的臨時(shí)拷貝,每一次上電復(fù)位時(shí)被刷新;第6字節(jié)未用,表現(xiàn)為全邏輯1;第7、8字節(jié)位計(jì)數(shù)剩余值和每度計(jì)數(shù)值;第9字節(jié)讀出是前面所有8字節(jié)的CRC碼,可用來保證通信正確。DS18B20的測(cè)溫原理如圖3.3所示。 圖3.3 DS18B20原理圖低溫度系數(shù)晶振的振蕩頻率受溫度影響很小,用于產(chǎn)生固定頻率的脈沖信號(hào)送給計(jì)數(shù)器1,高溫度系數(shù)晶振隨溫度變化時(shí)振蕩頻率明顯改變,所產(chǎn)生的信號(hào)作為計(jì)數(shù)器2的脈沖輸入。計(jì)數(shù)器1和溫度寄存器被預(yù)置在-55所對(duì)應(yīng)的一個(gè)基數(shù)值,計(jì)數(shù)器1對(duì)低度系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行減法計(jì)算。當(dāng)計(jì)數(shù)器1的預(yù)置值減到0時(shí),溫度寄存器的值將加1,計(jì)數(shù)器1的預(yù)置將重新被裝入,計(jì)數(shù)器1重新開始對(duì)低溫系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行計(jì)數(shù)。如此循環(huán)直到計(jì)數(shù)器2計(jì)數(shù)到0時(shí),停止溫度寄存器的累加,此時(shí)溫度寄存器的數(shù)值即為所測(cè)溫度。斜率累加器用于補(bǔ)償和修正測(cè)溫過程中非線性,其輸出用于修正計(jì)數(shù)器1的預(yù)置值。DS18B20正常使用時(shí)的測(cè)溫分辨率位0.5,若要更高的精度,可采取直接讀取DS18B20內(nèi)部暫存寄存器的方法,將DS18B20的測(cè)溫分辨率提高到0.10.01。要獲得高分辨率的溫度測(cè)量結(jié)果,首先可用DS18B20提供的讀暫存寄存器指令(BEH)讀出以0.5為分辨率的溫度測(cè)量結(jié)果,然后切去測(cè)量結(jié)果中最低有效位(LSB),得到所測(cè)實(shí)際溫度整數(shù)部分“T整數(shù)”,然后再用BEH指令讀取計(jì)數(shù)器1的計(jì)數(shù)余值(即M剩余)和每度計(jì)數(shù)值(M每度),考慮到DS18B20測(cè)量溫度的整數(shù)部分以0.25、0.75為進(jìn)位界限的關(guān)系。由于傳感器的硬件電路連接簡(jiǎn)單,導(dǎo)致的直接后過就是編程的復(fù)雜,不過以無形的軟件資源換取硬件資源的節(jié)省是一種不錯(cuò)的節(jié)省之道。2、壓力傳感器PJT204用半導(dǎo)體應(yīng)變片制作的傳感器稱為壓阻式傳感器,其工作原理是基于半導(dǎo)體的壓阻效應(yīng)。而半導(dǎo)體繼承了金屬電阻應(yīng)變片性能穩(wěn)定、精度較高等優(yōu)點(diǎn),并且在靈敏系數(shù)方面大大改善了。壓阻效應(yīng):當(dāng)力作用于硅晶體時(shí),晶體的晶格產(chǎn)生變形,使載流子從一個(gè)能谷向另一個(gè)能谷散射,引起載流子的遷移率發(fā)生變化,擾動(dòng)了載流子縱向和橫向的平均量,從而使硅的電阻率發(fā)生變化。這種變化隨晶體的取向不同而異,因此硅的壓阻效應(yīng)與晶體的取向有關(guān)。硅的壓阻效應(yīng)不同于金屬應(yīng)變計(jì)(見電阻應(yīng)變計(jì)),前者電阻隨壓力的變化主要取決于電阻率的變化,后者電阻的變化則主要取決于幾何尺寸的變化(應(yīng)變),而且前者的靈敏度比后者大50100倍。壓阻式傳感器廣泛地應(yīng)用于航天、航空、航海、石油化工、動(dòng)力機(jī)械、生物醫(yī)學(xué)工程、氣象、地質(zhì)、地震測(cè)量等各個(gè)領(lǐng)域。所以壓力傳感器就選取佛山市浩捷電子儀器有限公司的PTJ204壓力傳感器變送器。PJT204采用全不銹鋼封焊結(jié)構(gòu),具有良好的防潮能力及優(yōu)異的介質(zhì)兼容性。廣泛用于工業(yè)設(shè)備、石油、水利、化工、醫(yī)療、電力、空調(diào)、金剛石壓機(jī)、冶金、車輛制動(dòng)、樓宇供水等壓力測(cè)量與控制。量程:01150(Mpa)。綜合精度:0.1FS、0.2FS、0.5FS、1.0FS。輸出信號(hào):420mA(二線制)、05V、15V、010V(三線制)。供電電壓:24DCV(936DCV。介質(zhì)溫度:-2085。環(huán)境溫度:常溫(-2085)。負(fù)載電阻:電流輸出型:最大800;電壓輸出型:大于50K。絕緣電阻:大于2000M(100VDC。密封等級(jí):IP65。長(zhǎng)期穩(wěn)定性能:0.1FS年。振動(dòng)影響:在機(jī)械振動(dòng)頻率20Hz1000Hz內(nèi),輸出變化小于0.1FS。電氣接口(信號(hào)接口):四芯屏蔽線、四芯航空接插件、緊線螺母。機(jī)械連接(螺紋接口):12-20UNF、M141.5、M201.5、M221.5等,其它螺紋可依據(jù)用戶要求設(shè)計(jì)。其壓力傳感器種類有:水壓傳感器,水壓測(cè)控傳感器,水壓變送器,水壓測(cè)控變送器,水壓測(cè)控儀器,水管水壓傳感器,水管水壓測(cè)控傳感器,水管水壓變送器,水管水壓測(cè)控變送器,管道水壓傳感器,管道水壓測(cè)控傳感器,管道水壓變送器,管道水壓測(cè)控變送器壓力傳感器。3、液位傳感器為DX130西安鼎興自控工程有限公司DX130液位傳感器利用流體靜力學(xué)原理測(cè)量液位,是壓力傳感器的一項(xiàng)重要應(yīng)用。采用特種的中間帶有通氣導(dǎo)管的電纜及專門的密封技術(shù),既保證了傳感器的水密性,又使得參考?jí)毫η慌c環(huán)境壓力相通,從而保證了測(cè)量的高精度和高穩(wěn)定性。主要技術(shù)指標(biāo):量程01(2,3,4,5,10,100,200)m;零位輸出V03mV;滿量程輸出VFS=100mV25%、VFS=50mV30%、VFS=10mV30%;過載能力150%;供電恒流源:14mA;恒壓:69VDC;線性0.1%FS;重復(fù)性0.05%FS;遲滯100M/50V;絕緣強(qiáng)度500M/500V;測(cè)壓方式投入式;電氣連接防水密封電纜引線;適用介質(zhì)與316不銹鋼相兼容的流體;外殼保護(hù)IP65;防爆等級(jí)ExiallCT5。3.3 測(cè)量通道3.3.1 測(cè)量通道的選擇由于傳感器輸出的信號(hào)是模擬量(數(shù)字式的除外),而且很多時(shí)候不能直接采集,還需要進(jìn)行適當(dāng)?shù)男盘?hào)調(diào)理即調(diào)理電路、采樣與保持、A/D轉(zhuǎn)換器等測(cè)量通道,若采用分時(shí)輸入還需要模擬多路切換器。由于是多路信號(hào)采集,那么采樣保持器和A/D轉(zhuǎn)換器是共用同一套還是每一路信號(hào)一套,所以可分為集中式和分散式9。(1)集中式集中式即采樣保持器和A/D轉(zhuǎn)換器共用同一組,其結(jié)構(gòu)又可分為同步采集和分時(shí)采集。如下圖3.4多路分時(shí)采集分時(shí)輸入結(jié)構(gòu),它的優(yōu)點(diǎn)是多路信號(hào)共同使用一個(gè)S/H和A/D電路,簡(jiǎn)化了電路結(jié)構(gòu),有利于降低成本。但這種結(jié)構(gòu)的對(duì)信號(hào)的采集會(huì)產(chǎn)生時(shí)間偏斜誤差對(duì)要求嚴(yán)格同步的系統(tǒng)不適用。圖3.4 多路分時(shí)采集分時(shí)輸入結(jié)構(gòu)而如下圖3.5多路同步采集分時(shí)輸入結(jié)構(gòu),能滿足對(duì)信號(hào)的同步采集。但是保持器總會(huì)有些遺漏,使信號(hào)衰減,因此它還不能獲得真正的同步。圖3.5 多路同步采集分時(shí)輸入結(jié)構(gòu)(2)分散式分散式的特點(diǎn)是每一個(gè)S/H和A/D只對(duì)本路模擬信號(hào)進(jìn)行數(shù)字轉(zhuǎn)換即數(shù)據(jù)采集,采集的多路數(shù)據(jù)同時(shí)輸入到FPGA,在FPGA的并行處理過程中實(shí)現(xiàn)真正的同步。結(jié)構(gòu)如下圖3.6所示。圖3.6 分散采集式結(jié)構(gòu)結(jié)合實(shí)際情況,考慮開發(fā)的難易也為了節(jié)省硬件資源,最后測(cè)量通道選取多路分時(shí)采集分時(shí)輸入。以下就將詳細(xì)介紹傳輸通道的各個(gè)模塊。3.3.2 調(diào)理電路調(diào)理電路的作用就是把傳感器輸出信號(hào)調(diào)節(jié)到標(biāo)準(zhǔn)信號(hào)即15V或420mA。在一般的測(cè)量系統(tǒng)中信號(hào)的調(diào)理任務(wù)比較復(fù)雜,除了小信號(hào)放大、濾波外,還有諸如零點(diǎn)校驗(yàn)、線性化處理、溫度補(bǔ)償、誤差修正、量程切換等。這里就詳細(xì)說明一下放大電路。由于傳感器熟的信號(hào)是mV級(jí)的,因此放大電路的放大級(jí)數(shù)得達(dá)到千倍級(jí)。下圖3.7的放大電路是根據(jù)儀用放大電路原理設(shè)計(jì),IC1、IC2、IC3是三個(gè)型號(hào)為L(zhǎng)M324的運(yùn)算放大器,能實(shí)現(xiàn)的放大倍數(shù)為:。所以其理論放大至少20倍,通過調(diào)節(jié)R1更改放大倍數(shù),調(diào)節(jié)R8實(shí)現(xiàn)調(diào)零。圖3.7 可調(diào)放大電路3.3.3多路模擬開關(guān)多路模擬開關(guān)的主要用途是把多個(gè)模擬量參數(shù)分時(shí)地送入下游電路,完成多到一的轉(zhuǎn)換。在本系統(tǒng)中由于考慮到信號(hào)的路數(shù)不是很多,因此就選取CD公司的CD4051單端8通道多路開關(guān),通常其導(dǎo)通或關(guān)斷時(shí)間在1us左右,能滿足設(shè)計(jì)要求。CD4051有3個(gè)二進(jìn)制控制輸入端A、B、C 和一個(gè)禁止輸入端INH,具有低導(dǎo)通阻抗和很低的截止漏電流,在系統(tǒng)中均由控制芯片F(xiàn)PGA提供信號(hào)。C、B、A得到信號(hào)用來選擇8個(gè)通道之一被接通。INH=1,即INH=VCC時(shí),所有通道都斷開,禁止模擬量輸入;當(dāng)INH=0,即INH=VSS時(shí),通道接通,允許模擬量輸入,輸入范圍是VCCVSS。所以用戶可以根據(jù)自己的輸入信號(hào)范圍和數(shù)字控制信號(hào)的邏輯電平來選擇VCC,VSS,VEE的電壓值。其允許的這三種電壓的范圍是-0.515V10。CD4051提供了16引線多層陶瓷雙列直插(D)、熔封陶瓷雙列直插(J)、塑料雙列直插(P)和陶瓷片狀載體(C)4 種封裝形式。最初設(shè)計(jì)推薦工作條件:電源電壓范圍:3V15V;輸入電壓范圍:0VVDD。工作溫度范圍:M類:55125;E 類:4085。極限值:電源電壓:0.5V18V;輸入電壓:0.5VVDD+0.5V;輸入電流:10mA;儲(chǔ)存溫度:65150。4)引腳說明如圖3.8所示。C、B、A:為地址端;IN/OUT:模擬信號(hào)輸入輸出端;INH:禁止端;OUT/IN:數(shù)字信號(hào)公共輸出/輸入端;VCC:正電源;VEE:模擬信號(hào)地;VSS:數(shù)字信號(hào)地。圖3.8 CD4051管腳圖系統(tǒng)電路連接圖詳見附錄A。CD4051模擬通道選通的真值表如表3.3所示。表3.3 CD4051的真值表輸入狀態(tài)接通通道INHCBA8個(gè)IN/OUT00000000110010200113010040101501106011171XXX無3.3.4 采樣保持器采樣保持器(Sample/Hold),簡(jiǎn)稱S/H,其主要用途是:保持采樣信號(hào)不變,以完成A/D的轉(zhuǎn)換;同時(shí)采樣幾個(gè)模擬量,以便進(jìn)行數(shù)據(jù)處理和測(cè)量。本系統(tǒng)采用美國(guó)國(guó)家半導(dǎo)體公司的LF398,LF398是一種反饋型采樣保持器,也是目前較為流行的通用型采樣保持器10。LF398是由

注意事項(xiàng)

本文(2017畢業(yè)論文-基于FPGA的多路信號(hào)采集器設(shè)計(jì).doc)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!