九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

電子密碼鎖課程設(shè)計(jì).doc

  • 資源ID:116532675       資源大?。?span id="24d9guoke414" class="font-tahoma">447.50KB        全文頁數(shù):25頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

電子密碼鎖課程設(shè)計(jì).doc

課 程 設(shè) 計(jì) 報(bào) 告課程名稱: 學(xué)生姓名: 學(xué) 號(hào): 專業(yè)班級(jí): 指導(dǎo)教師: 完成時(shí)間: 201?年?月?日 評(píng)閱意見: 評(píng)閱教師 日期 報(bào)告成績: 目錄1.前言31.1 設(shè)計(jì)背景31.2 設(shè)計(jì)概述41.2.1設(shè)計(jì)目標(biāo)41.2.2技術(shù)路線41.2.3實(shí)施計(jì)劃41.2.4必備條件42.總體方案設(shè)計(jì)52.1 方案比較52.1.1 方案一52.1.2 方案二52.2 方案論證62.3 方案選擇63. 單元模塊設(shè)計(jì)73.1密碼預(yù)設(shè)模塊設(shè)計(jì)73.2密碼輸入模塊設(shè)計(jì)93.3 555定時(shí)模塊設(shè)計(jì)113.4 密碼比較模塊設(shè)計(jì)153.5特殊器件的介紹193.5.1 74HC161器件介紹193.6 各單元模塊的聯(lián)接204 結(jié)論215總結(jié)與體會(huì)225.1 設(shè)計(jì)的收獲體會(huì)225.2 對(duì)設(shè)計(jì)的進(jìn)一步完善提出意見或建議226參考文獻(xiàn)23附錄:系統(tǒng)仿真總圖24第 24 頁電子密碼鎖摘 要:本設(shè)計(jì)的電子密碼鎖采用四位密碼解鎖。該電子密碼鎖通過555定時(shí)報(bào)警,通過報(bào)警即可判斷密碼正確與否。數(shù)字密碼輸入后采用編碼電路和鎖存器電路來實(shí)現(xiàn)保存密碼,以便進(jìn)行密碼比較。密碼未在規(guī)定時(shí)間內(nèi)輸入正確,則進(jìn)行自鎖和復(fù)位。密碼輸入正確后開鎖信號(hào)由LED指示。文中列舉了兩種不同的方案,并進(jìn)行了比較以及改進(jìn),對(duì)關(guān)鍵器件也進(jìn)行了功能介紹和測試。關(guān)鍵詞:密碼鎖、74HC161、NE555、 proteusAbstract: The design for the traditional mechanical locks important shortcomings and modern security protection and design of digital electronic locks. The design of electronic locks use four-digit password to unlock. The electronic lock 555 timed by the alarm, the alarm can be determined by the password is correct or not. Password to be used after digital coding circuit and latch circuit to achieve to save passwords for password comparison. Password is not entered correctly within the specified time, the self-locking and reset. After entering the correct password lock signal from the LED. The article cited two different scenarios, and compared and the improvement of key functional components have also been introduced and tested.Keywords: Electronic lock;74HC161;NE555;proteus1. 前言1.1 設(shè)計(jì)背景隨著人們生活水平的提高和安全意識(shí)的加強(qiáng),對(duì)安全的要求也就越來越高。鎖自古以來就是把守護(hù)門的鐵將軍,人們對(duì)它要求甚高,既要安全可靠的防盜,又要使用方便,這也是制鎖者長期以來研制的主題。隨著電子技術(shù)的發(fā)展,各類電子產(chǎn)品應(yīng)運(yùn)而生,電子密碼鎖就是其中之一。據(jù)有關(guān)資料介紹,電子密碼鎖的研究從20世紀(jì)30年代就開始了,在一些特殊場所早就有所應(yīng)用。這種鎖是通過鍵盤輸入一組密碼完成開鎖過程。研究這種鎖的初衷,就是為提高鎖的安全性。由于電子鎖的密鑰量(密碼量)極大,可以與機(jī)械鎖配合使用,并且可以避免因鑰匙被仿制而留下安全隱患。電子鎖只需記住一組密碼,無需攜帶金屬鑰匙,免除了人們攜帶金屬鑰匙的煩惱,而被越來越多的人所欣賞。電子鎖的種類繁多,例如數(shù)碼鎖,指紋鎖,磁卡鎖,IC卡鎖,生物鎖等。但較實(shí)用的還是按鍵式電子密碼鎖。20世紀(jì)80年代后,隨著電子鎖專用集成電路的出現(xiàn),電子鎖的體積縮小,可靠性提高,成本較高,是適合使用在安全性要求較高的場合,且需要有電源提供能量,使用還局限在一定范圍,難以普及,所以對(duì)它的研究一直沒有明顯進(jìn)展。目前,在西方發(fā)達(dá)國家,電子密碼鎖技術(shù)相對(duì)先進(jìn),種類齊全,電子密碼鎖已被廣泛應(yīng)用于智能門禁系統(tǒng)中,通過多種更加安全,更加可靠的技術(shù)實(shí)現(xiàn)大門的管理。在我國電子鎖整體水平尚處于國際上70年代左右,電子密碼鎖的成本還很高,市場上仍以按鍵電子鎖為主,按鍵式和卡片鑰匙式電子鎖已引進(jìn)國際先進(jìn)水平,現(xiàn)國內(nèi)有幾個(gè)廠生產(chǎn)供應(yīng)市場。由于門電路也具有現(xiàn)場可編程功能,當(dāng)設(shè)計(jì)需要更改時(shí),只需更改門電路中的控制和接口電路,無需更改外部電路的設(shè)計(jì),大大提高了設(shè)計(jì)的效率,傳統(tǒng)的機(jī)械鎖由于其構(gòu)造的簡單,被撬的事件屢見不鮮,電子鎖由于其保密性高,使用靈活性好,安全系數(shù)高,受到了廣大用戶的歡迎。通常簡單的數(shù)字電路就可實(shí)現(xiàn)密碼不限次數(shù)重寫,也就是說現(xiàn)在的硬件設(shè)計(jì)和軟件設(shè)計(jì)一樣靈活、方便。相對(duì)于基于單片機(jī)技術(shù)的電子密碼鎖,用簡單門電路來來構(gòu)成系統(tǒng),可靠性提高,并且價(jià)格便宜。1.2 設(shè)計(jì)概述通過本次設(shè)計(jì)掌握數(shù)字電路系統(tǒng)設(shè)計(jì)的方法,熟悉電子密碼鎖設(shè)計(jì)相關(guān)硬件的使用,了解電子密碼鎖的系統(tǒng)構(gòu)成,利用數(shù)字門電路實(shí)現(xiàn)電子密碼鎖的設(shè)計(jì)與實(shí)現(xiàn),可以加深自己對(duì)所學(xué)專業(yè)的認(rèn)識(shí),關(guān)聯(lián)知識(shí),增強(qiáng)自己的動(dòng)手能力,積累實(shí)踐經(jīng)驗(yàn),為以后的工作打好基礎(chǔ)。1.2.1設(shè)計(jì)目標(biāo)1.在鎖的控制電路中存儲(chǔ)一個(gè)可以修改的4位代碼,當(dāng)開關(guān)鎖按鈕開關(guān)(可設(shè)置成6位至8位,其中實(shí)際有效為4位,其余為虛設(shè))的輸入代碼等于存儲(chǔ)的代碼時(shí),進(jìn)入開鎖狀態(tài)而使鎖打開。2.從第一個(gè)按鈕觸動(dòng)之后的5秒內(nèi)若未將鎖打開,則電路自動(dòng)復(fù)位并進(jìn)入自鎖狀態(tài),使之無法再打開(可按復(fù)位鍵重新輸入),并由揚(yáng)聲器發(fā)出持續(xù)20s的報(bào)警信號(hào)。3.電子鎖也能作門鈴使用,但響聲已與報(bào)警聲做區(qū)別處理1.2.2技術(shù)路線要實(shí)現(xiàn)該設(shè)計(jì),就應(yīng)把整個(gè)電路分為幾大模塊。分別是密碼預(yù)設(shè)模塊,密碼輸入模塊,密碼比較模塊,鎖存模塊,定時(shí)模塊,報(bào)警模塊。先通過對(duì)各個(gè)模塊進(jìn)行設(shè)計(jì)、調(diào)試之后再將其組合起來進(jìn)行整機(jī)調(diào)試。1.2.3實(shí)施計(jì)劃首先就是要理清實(shí)現(xiàn)設(shè)計(jì)的框圖,在總體框圖的指導(dǎo)下,作出具體的電路圖,由要設(shè)計(jì)實(shí)現(xiàn)的功能,計(jì)算出各個(gè)電路元件的值,并逐一對(duì)各個(gè)元件進(jìn)行選擇。最后用仿真軟件對(duì)設(shè)計(jì)進(jìn)行仿真操作,調(diào)試軟件,并對(duì)照出的設(shè)計(jì)有誤的地方進(jìn)行必要的修正,確保設(shè)計(jì)的正確。1.2.4必備條件要實(shí)現(xiàn)該電路的設(shè)計(jì),首要的就是要制定出設(shè)計(jì)的實(shí)現(xiàn)框圖,并在老師的指導(dǎo)和參照資料的條件下作出具體電路圖,選定實(shí)現(xiàn)實(shí)現(xiàn)該設(shè)計(jì)的各種元器件。另外,還應(yīng)由必要的軟件幫助設(shè)計(jì)的完成。2.總體方案設(shè)計(jì)本節(jié)將介紹具體電子鎖的設(shè)計(jì)方案2.1 方案比較2.1.1 方案一輸入按鈕開關(guān)輸入鎖存電路密碼存儲(chǔ)電路開鎖控制電路5s定時(shí)電路20s定時(shí)電路鎖裝置音響電路自鎖圖2.1 方案一的原理框圖方案一的原理框圖如圖2.1所示。本方案是用按鍵式的輸入方式輸入密碼,然后預(yù)設(shè)密碼由74HC160和BCD數(shù)碼管顯示,而輸入密碼則由4508和BCD數(shù)碼管顯示,密碼比較由異或門器件來實(shí)現(xiàn),定時(shí)裝置由555定時(shí)器組成的單穩(wěn)態(tài)觸發(fā)器和多諧振蕩器2.1.2 方案二輸入密碼密碼識(shí)別按下確認(rèn)鍵密碼正確 綠燈亮開鎖密碼錯(cuò)誤555電路工作紅燈亮蜂鳴器響十秒顯示十秒倒計(jì)時(shí)圖2.2 方案二的原理框圖方案二的原理框圖如圖2.2所示。本設(shè)計(jì)提供了一個(gè) 4位撥碼式按鍵輸入開關(guān) ,一個(gè)4位撥碼式按鍵密碼預(yù)設(shè)開關(guān)。用戶必須按下按下事確認(rèn)按鈕后方可知用戶輸入的密碼是否與預(yù)置密碼一致,一致時(shí)密碼鎖可打開 ,本設(shè)計(jì)由綠色二極管亮代表密碼正確 ,鎖可以打開。密碼不正確時(shí),紅色二極管亮同時(shí)蜂鳴器響十秒,顯示十秒倒計(jì)時(shí)2.2 方案論證第一套方案和第二套方案都可行,但是第一套方案的思路更清晰,想到了用4508+BCD數(shù)碼管和74HC160+BCD數(shù)碼管的方式顯示密碼,是比較好的想法,而第二套方案用NPN型三極管9013對(duì)555脈沖信號(hào)放大,從而驅(qū)動(dòng)蜂鳴器報(bào)警,而第二套方案用NPN型三極管9013對(duì)門電路信號(hào)放大,從而驅(qū)動(dòng)繼電器工作比較難以實(shí)現(xiàn)2.3 方案選擇基于第一套方案思路更清晰,實(shí)現(xiàn)的難度更小,更能體現(xiàn)我們的思想,故選擇第一套方案。3. 單元模塊設(shè)計(jì)3.1密碼預(yù)設(shè)模塊設(shè)計(jì) 圖3.1 密碼預(yù)設(shè)模塊如圖3.1所示為密碼預(yù)設(shè)模塊,通過這個(gè)電路預(yù)設(shè)密碼,按鍵按下則給一個(gè)脈沖給74HC160使其顯示所輸入的密碼 圖3.2 74HC160管腳圖74HC160芯片同步十進(jìn)制計(jì)數(shù)器(異異步清零)用于快速計(jì)數(shù)的內(nèi)部超前進(jìn)位用于n位級(jí)聯(lián)的進(jìn)位輸出同步可編程序有置數(shù)控制線二極管位輸入異步清零同步置數(shù)本電路是由4個(gè)主從觸發(fā)器和用作除2計(jì)數(shù)器及計(jì)數(shù)周期長度為除5的3位2進(jìn)制計(jì)數(shù)器所用的附加選通所組成,有選通的零復(fù)位和置9輸入。為了利用本計(jì)數(shù)器的最大計(jì)數(shù)長度(十進(jìn)制),可將B輸入童QA輸出連接,輸入計(jì)數(shù)脈沖可加到輸入A上,此時(shí)輸出就如相應(yīng)的功能表上所要求的那樣。LS90可以獲得對(duì)稱的十分頻計(jì)數(shù),辦法是將QD輸出接到A輸入端,并把輸入計(jì)數(shù)脈沖加到B輸入端,在QA輸出端處產(chǎn)生對(duì)稱的十分頻方波。 圖3.3 密碼按鍵輸入按鈕觸發(fā)脈沖BCD數(shù)碼管譯碼顯示 圖3.4 密碼預(yù)設(shè)輸入顯示3.2密碼輸入模塊設(shè)計(jì) 圖3.5 密碼輸入模塊如圖3.5所示為電子密碼鎖輸入密碼部分,輸入對(duì)則上面的燈亮,不對(duì)則報(bào)警,5秒內(nèi)未輸入完成也報(bào)警。 圖3.6 開鎖指示燈 圖3.7 密碼輸入鍵盤如圖3.7所示為密碼輸入鍵盤,該模塊主要實(shí)現(xiàn)給相應(yīng)功能端一個(gè)上升和下降脈沖沿,該模塊實(shí)現(xiàn)方式和原理按鈕按下之前輸出端為低,按下之后為高電平,按鈕升起來為低電平。protues仿真原理圖按鈕之后,R之前為輸出端。 圖3.8 優(yōu)先編碼器如圖3.8所示為CD4532組成的16線4線優(yōu)先編碼器,高六位為虛設(shè)優(yōu)先編碼器:允許同時(shí)輸入兩個(gè)或以上的有效編碼信號(hào),當(dāng)同時(shí)輸入幾個(gè)有效編碼信號(hào)時(shí),優(yōu)先編碼器能按預(yù)先設(shè)定的優(yōu)先級(jí)別,只對(duì)其中優(yōu)先權(quán)最高的一個(gè)信號(hào)進(jìn)行編碼。 圖3.9 CD4532管腳圖3.3 555定時(shí)模塊設(shè)計(jì)1、該模塊主要實(shí)現(xiàn)定時(shí)報(bào)警,具體有以下幾個(gè)功能: 1.5s內(nèi)密碼輸入不正確則觸發(fā)20s報(bào)警指示 2.5s內(nèi)密碼未輸入完成則觸發(fā)20s報(bào)警指示并且自鎖復(fù)位。 3.5s內(nèi)密碼輸入正確則不報(bào)警。 4.20s報(bào)警器同時(shí)可當(dāng)門鈴使用2、該模塊主要由555定時(shí)器構(gòu)成的多諧振蕩器和單穩(wěn)態(tài)觸發(fā)器來實(shí)現(xiàn),其間為實(shí)現(xiàn)上面4個(gè)功能,用到了D觸發(fā)器,多個(gè)反相器和與門和與非門。 圖3.10 555定時(shí)器組成的5秒和20秒報(bào)警裝置用555器件及一些必不可少的元件來構(gòu)成多謝振蕩器,其電路圖如圖3.10所示。 圖 3.11 555單穩(wěn)態(tài)和555多諧振蕩器組成的20秒報(bào)警裝置如圖3.11所示,其報(bào)警的頻率計(jì)算式為f=1/0.7(R1+2R2)C1獲得脈沖信號(hào)以后,多諧振蕩器得電工作,從4腳和8腳輸入電源,由3腳輸出正弦波頻率脈沖。外部元件的穩(wěn)定性決定了多諧振蕩器的穩(wěn)定性,可以通過調(diào)節(jié)外部元件的R和C來得到穩(wěn)定的脈沖信號(hào)。由555定時(shí)器和外接元件R1、R2、C構(gòu)成多諧振蕩器,腳2與腳6直接相接。電路沒有穩(wěn)態(tài),僅存在兩個(gè)暫穩(wěn)態(tài),電路亦不需要外加觸發(fā)信號(hào),利用電源通過R1、R2向C充電,以及C通過R2向放電端Ct 放電,如圖3.3使電路產(chǎn)生振蕩。圖3.2所示是由555定時(shí)器構(gòu)成的多諧振蕩器的內(nèi)部框圖及引腳排列情況,表3.1是它的引腳功能。 圖3.12 555定時(shí)器引腳排列表3.1 555功能圖接通接通關(guān)斷 表3.2 555的引腳功能引腳功能1接地2觸發(fā)輸入端3輸出端4復(fù)位端5電壓控制端6閾值輸入端7放電端8電源 555電路的內(nèi)部電路含有兩個(gè)電壓比較器,一個(gè)基本RS觸發(fā)器,一個(gè)放電開關(guān)管T,比較器的參考電壓由三只5K的電阻器構(gòu)成的分壓器提供。它們分別使高電平比較器A1的同相輸入端和低電平比較器A2的反相輸入端的參考電平為2/3 Vcc電和1/3Vcc。A1與A2的輸出端控制RS觸發(fā)器狀態(tài)和放電管開關(guān)狀態(tài)。當(dāng)輸入信號(hào)自6腳,即高電平觸發(fā)輸入并超過參考電平2/3Vcc時(shí),觸發(fā)器復(fù)位,555的輸出端3腳輸出低電平,同時(shí)放電開關(guān)管導(dǎo)通;當(dāng)輸入信號(hào)自2腳輸入并低于1/3Vcc時(shí),觸發(fā)器置位,555的3腳輸出高電平,同時(shí)放電開關(guān)管截止。Rd是復(fù)位端(4腳),當(dāng)Rd=0,555輸出低電平。平時(shí)Rd端開路或接Vcc。Vc是控制電壓端(5腳),平時(shí)輸出2/3Vcc作為比較器A1的參考電壓,當(dāng)5腳外接一個(gè)輸入電壓,即改變了比較器的參考電壓,從而實(shí)現(xiàn)對(duì)輸出的另一種控制,在不接外加電壓時(shí),通常接一個(gè)0.01uf的電容器到地,起濾波作用,以消除外來的干擾,以確保參考電平的穩(wěn)定。T為放電管,當(dāng)T導(dǎo)通時(shí),將給接于腳7的電容器提供低阻放電通路。555定時(shí)器主要是與電阻、電容構(gòu)成充放電電路,并由兩個(gè)比較器來檢測電容器上的電壓,以確保輸出電平的高低和放電開關(guān)管的通斷。這就很方便地構(gòu)成從微秒到數(shù)十分鐘的延時(shí)電路,可以方便地構(gòu)成單穩(wěn)態(tài)觸發(fā)器、多諧振蕩器、施密特觸發(fā)器等脈沖產(chǎn)生或波形變換電路。 圖3.14 5秒超時(shí)報(bào)警裝置 圖 3.15 5秒555定時(shí)裝置如圖所示為5秒555定時(shí)裝置,5秒計(jì)時(shí)期間D2燈亮直到5秒計(jì)時(shí)結(jié)束3.4 密碼比較模塊設(shè)計(jì)一、該模塊功能是密碼正確時(shí)安確認(rèn)按鈕時(shí)開鎖,開鎖信號(hào)由LED燈指示。5s后自鎖電路。二、該模塊由D觸發(fā)器和邏輯開關(guān)來實(shí)現(xiàn)三、protues原理及原理圖該模塊原理為確認(rèn)按鈕給D觸發(fā)器一個(gè)有效脈沖,密碼正確后LED燈亮,否則不亮。5s定時(shí)結(jié)束通過反相器給另一個(gè)D觸發(fā)器,使其斷開鍵盤電源達(dá)到自鎖。 圖3.16 異或門密碼比較裝置如圖3.16所示為異或門組成的密碼比較裝置,當(dāng)密碼輸入正確時(shí)輸出一個(gè)高電平,開鎖指示燈亮。 圖3.17 555定時(shí)器組成的電子門鈴如圖3.17所示為555定時(shí)器構(gòu)成的電子門鈴裝置,當(dāng)按鍵按下時(shí)使門鈴裝置發(fā)聲。 圖3.18 5秒超時(shí)自鎖和報(bào)警裝置如圖3.18所示為5秒超時(shí)自鎖和報(bào)警部分,06端密碼輸錯(cuò)輸入一個(gè)低電平,當(dāng)5秒輸入密碼的時(shí)間用完14端給一個(gè)脈沖觸發(fā)D觸發(fā)器,使得報(bào)警器報(bào)警的同時(shí)上面的D觸發(fā)器也被觸發(fā),鍵盤被切斷電源,從而達(dá)到自鎖的目的。 圖3.19 RC微分電路如圖3.19所示為一個(gè)RC微分電路,提供后面20秒報(bào)警器一個(gè)脈沖 圖3.20 74HC194移位寄存器模塊如圖3.21所示為74HC194移位寄存器模塊,使BCD數(shù)碼管分別顯示輸入的密碼74HC194的特點(diǎn)為:并行輸入和并行輸出四種操作方式:同步并行寄存,右移,左移,保持正沿時(shí)鐘觸發(fā)無條件直接清除典型參數(shù):f(工作頻率)=36MHz,Pd=75mW 圖3.21 74HC194管腳圖說明: 本電路有46個(gè)等效門,有并行輸入,并行輸出,右移和左移串行輸入,操作模式控制輸入和一個(gè)直接無條件清零,設(shè)計(jì)者實(shí)際上組合了系統(tǒng)設(shè)計(jì)者在一個(gè)移位寄存器上所要的全部特點(diǎn),電路有4哥不同的操作方式,為: 并行(并排)寄存(置數(shù)) 右移(方向QA到QD) 左移(方向QD到QA) 禁止時(shí)鐘(不動(dòng)) 同步的并行置數(shù)是通過加4個(gè)數(shù)據(jù)位,并使模式控制輸入端S0和S1為高電平而完成的,在時(shí)鐘輸入的正躍變之后,可把數(shù)據(jù)存入到相應(yīng)的觸發(fā)器上并在輸出端輸出,當(dāng)置數(shù)時(shí),串行數(shù)據(jù)流唄禁止。 右移是在S0為高和S1為低時(shí),用時(shí)鐘的脈沖上沿去同步地完成。這種方式的串行數(shù)據(jù)是在右移數(shù)據(jù)輸入端上進(jìn)行。當(dāng)S0為低和S1為高時(shí),可同步地在左移數(shù)據(jù),而數(shù)據(jù)被送入左移串行輸入端。 當(dāng)兩個(gè)模式控制輸入端都為低時(shí),觸發(fā)器的時(shí)鐘脈沖便被禁止。3.5特殊器件的介紹3.5.1 74HC161器件介紹時(shí)鐘CP和四個(gè)數(shù)據(jù)輸入端P0P3清零/MR使能CEP,CET置數(shù)PE數(shù)據(jù)輸出端Q0Q3以及進(jìn)位輸出TC. (TC=Q0Q1Q2Q3CET) 表3.3 74LS161功能表輸 入 輸 出 CR CPLD EPETD3 D2 D1 D0 Q3 Q2 Q1 Q0 0 0 0 0 0 1 0 d c b a d c b a 1 1 0 Q3 Q2 Q1 Q0 1 1 0 Q3 Q2 Q1 Q0 1 1 1 1 狀態(tài)碼加1 圖3.22 74LS161管腳圖如圖3.22 所示為74LS161的管腳圖,從74LS161功能表3.3中可以知道,當(dāng)清零端CR=“0”,計(jì)數(shù)器輸出Q3、Q2、Q1、Q0立即為全“0”,這個(gè)時(shí)候?yàn)楫惒綇?fù)位功能。當(dāng)CR=“1”且LD=“0”時(shí),在CP信號(hào)上升沿作用后,74LS161輸出端Q3、Q2、Q1、Q0的狀態(tài)分別與并行數(shù)據(jù)輸入端D3,D2,D1,D0的狀態(tài)一樣,為同步置數(shù)功能。而只有當(dāng)CR=LD=EP=ET=“1”、CP脈沖上升沿作用后,計(jì)數(shù)器加1。74LS161還有一個(gè)進(jìn)位輸出端CO,其邏輯關(guān)系是CO= Q0Q1Q2Q3CET。合理應(yīng)用計(jì)數(shù)器的清零功能和置數(shù)功能,一片74LS161可以組成16進(jìn)制以下的任意進(jìn)制分頻器。3.6 各單元模塊的聯(lián)接詳見附錄所示。4 結(jié)論本設(shè)計(jì)通過比較器、鎖存器、計(jì)數(shù)器、編碼器、譯碼器的應(yīng)用實(shí)現(xiàn)了電子密碼鎖的技術(shù)要求,具體功能如下:通過輸入正確原密碼執(zhí)行開鎖并綠色二極管亮5.5秒,錯(cuò)誤則報(bào)警并自鎖20秒,自鎖過程中不能再進(jìn)行操作;同時(shí)還對(duì)輸入時(shí)間進(jìn)行限制,如果輸入超時(shí),系統(tǒng)會(huì)將其等同于輸入錯(cuò)誤處理;另外,在輸入正確原始密碼后可以設(shè)置新密碼;在輸入過程中,可以復(fù)位清零,重新輸入。具體實(shí)現(xiàn)途徑為:輸入端采用兩片4532編碼,輸出和密碼儲(chǔ)存分別采用4片4508鎖存器;密碼比較電路通過4片4063實(shí)現(xiàn);綠燈亮和報(bào)警自鎖電路通過幾組555單穩(wěn)態(tài)電路及相應(yīng)組件構(gòu)成;5秒限時(shí)功能通過兩個(gè)555單穩(wěn)態(tài)組合實(shí)現(xiàn)。經(jīng)過測試,順利實(shí)現(xiàn)了各項(xiàng)預(yù)計(jì)功能本次設(shè)計(jì)的電子密碼鎖,功能多樣、使用、比較人性,同時(shí)具有極高的可靠性;在輸入錯(cuò)誤和輸入超時(shí)會(huì)通過蜂蜜器報(bào)警、并自鎖,具有較強(qiáng)的安保功能在日常生活和安全領(lǐng)域有廣泛應(yīng)用前景。5總結(jié)與體會(huì)5.1 設(shè)計(jì)的收獲體會(huì)電子密碼鎖的設(shè)計(jì)是在數(shù)字電路、模擬電路課程后,自己設(shè)計(jì)的課外科技活動(dòng)。是教學(xué)計(jì)劃中實(shí)踐方面的重要組成部分,也是落實(shí)本科學(xué)生科研訓(xùn)練計(jì)劃的要求。在著重基礎(chǔ)、注重前沿的前提下,促進(jìn)電子信息類專業(yè)和課程的建設(shè),促進(jìn)教學(xué)改革,在教學(xué)中注重培養(yǎng)大學(xué)生的創(chuàng)新能力、動(dòng)手能力;加強(qiáng)科學(xué)研究和工程實(shí)踐素質(zhì)的訓(xùn)練,提高自己對(duì)實(shí)際問題進(jìn)行設(shè)計(jì)、制作的綜合能力;為后續(xù)的畢業(yè)設(shè)計(jì)和在今后工作崗位上獨(dú)立開展科學(xué)研究打下良好基礎(chǔ)。同時(shí)提升了同學(xué)間的團(tuán)結(jié)協(xié)作能力。對(duì)我而言,知識(shí)上的收獲重要,精神上的豐收更加可喜。挫折是一份財(cái)富,經(jīng)歷是一份擁有。這次實(shí)習(xí)必將成為我人生旅途上一個(gè)非常美好的回憶!由于第一次做課程設(shè)計(jì),此設(shè)計(jì)中遇到了不少問題,在老師和研究生師兄的指導(dǎo)幫助下才順利的完成了本次課程設(shè)計(jì),在此表示衷心的感謝。本次課程設(shè)計(jì)是對(duì)所學(xué)的模擬電子技術(shù)基礎(chǔ)和數(shù)字電子技術(shù)基礎(chǔ)以及電工電子技術(shù)實(shí)驗(yàn)的高度總結(jié)應(yīng)用,在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己在知識(shí)上所存在的不足,也意識(shí)到除了必須具備專業(yè)知識(shí)以外,還必須具有塌實(shí)堅(jiān)毅不服輸?shù)钠犯瘛?在這次設(shè)計(jì)中,自己動(dòng)手,思考、自學(xué)、以及問問題來使自己獲得了不少知識(shí),尤其是在實(shí)驗(yàn)中知道了74LS160和74HC160的不同之處.本設(shè)計(jì)采用的事74HC160更容易實(shí)現(xiàn)功能!通過這次設(shè)計(jì),讓我們初步懂得了課本知識(shí)的課外應(yīng)用,也許這可以說成理論聯(lián)系實(shí)際吧。我們應(yīng)該更好的學(xué)習(xí)專業(yè)知識(shí),并將其應(yīng)用于課外生活中。5.2 對(duì)設(shè)計(jì)的進(jìn)一步完善提出意見或建議本次設(shè)計(jì)還是有許多的不足之處,比如報(bào)警聲音不都尖銳等。我們在以后可以設(shè)計(jì)功能更為強(qiáng)大的報(bào)警器,這就有待于今后我們在學(xué)習(xí)中認(rèn)真領(lǐng)悟、參透。6參考文獻(xiàn)1. 張克農(nóng). 數(shù)字電子技術(shù)M. 北京: 高教出版社出版,20062. 康光華. 電子技術(shù)基礎(chǔ)M. 北京:高教出版社出版,19993. 黃繼昌. 實(shí)用報(bào)警電路M. 北京:人民郵電出版社,2005 4. 黃智偉.電子電路計(jì)算機(jī)仿真設(shè)計(jì)M. 北京:電子工業(yè)出版社,2005 附錄:系統(tǒng)仿真總圖

注意事項(xiàng)

本文(電子密碼鎖課程設(shè)計(jì).doc)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!