九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

設(shè)計基于VHDL的簡易全自動控制洗衣機.doc

  • 資源ID:116533050       資源大?。?span id="24d9guoke414" class="font-tahoma">574KB        全文頁數(shù):17頁
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

設(shè)計基于VHDL的簡易全自動控制洗衣機.doc

華東理工大學(xué)2009 -2010 學(xué)年第2學(xué)期電子綜合設(shè)計DEA課程設(shè)計作業(yè) 2010.6 班級:XXXX 學(xué)號: XXX 姓名:XXXX開課學(xué)院:信息學(xué)院 任課老師: XXXX 成績: 題目:1、簡易全自動洗衣機控制器設(shè)計 2、通信系統(tǒng)信道編碼器設(shè)計作業(yè)要求:電子系統(tǒng)設(shè)計EDA課程是電子信息工程、自動控制、計算機科學(xué)與工程等專業(yè)的技術(shù)課之一,具有很強的工程實踐性。課程學(xué)習(xí)要求學(xué)生:掌握現(xiàn)代硬件數(shù)字電路的軟件化設(shè)計的基本方法、掌握應(yīng)用VHDL及EDA工具開發(fā)設(shè)計數(shù)字系統(tǒng)的基本方法以及對現(xiàn)代電子系統(tǒng)設(shè)計技術(shù)有一定的了解 設(shè)計報告要求:1、按照設(shè)計題目要求構(gòu)建設(shè)計框圖 2、用EDA設(shè)計軟件按照設(shè)計題目要求進行原型設(shè)計并給出仿真結(jié)果 3、對仿真結(jié)果進行一定的討論 4、原程序和仿真波形等附錄。教師評語: 教師簽名: 年 月 日電子綜合設(shè)計EDA綜合設(shè)計題設(shè)計一簡易全自動洗衣機控制器。該控制器由兩大狀態(tài)A和B組成,每個狀態(tài)分三個子狀態(tài),每個狀態(tài)分別由選擇A和選擇B控制。其中A為步進選擇按紐,每步跳轉(zhuǎn)一個子狀態(tài)、B也為步進選擇按紐,但每步選擇B中的所有組合中的一種。當啟動時,時間序列控制器按已選的B類子狀態(tài)順序執(zhí)行。過程啟動由啟動/暫停鍵控制(暫停鍵在過程啟動后任意時間可暫停/恢復(fù)過程)過程啟動后機蓋開啟應(yīng)均能暫停過程,復(fù)蓋間停30秒后重新繼續(xù)原過程。A:強洗 標準 弱洗B:洗滌 漂洗 甩干 (洗滌,漂洗時電機分別正轉(zhuǎn)、反轉(zhuǎn))強洗:(共36分鐘) 洗滌 18分漂洗 14分甩干 4分(洗滌時電機分別正轉(zhuǎn)4分、反轉(zhuǎn)4分,正反轉(zhuǎn)間停30秒;漂洗時電機分別正轉(zhuǎn)3分、反轉(zhuǎn)3分,正反轉(zhuǎn)間停30秒;甩干時電機分別正轉(zhuǎn)1.5分,間停30秒)標準:(共26分鐘) 洗滌 14分漂洗 8 分甩干 4分(洗滌時電機分別正轉(zhuǎn)3分、反轉(zhuǎn)3分,正反轉(zhuǎn)間停30秒;漂洗時電機分別正轉(zhuǎn)1.5分、反轉(zhuǎn)1.5分,正反轉(zhuǎn)間停30秒;甩干時電機分別正轉(zhuǎn)1.5分,間停30秒)弱洗(共20分鐘) 洗滌 10分漂洗 6分甩干 4分(洗滌時電機分別正轉(zhuǎn)2分、反轉(zhuǎn)2分,正反轉(zhuǎn)間停30秒;漂洗時電機分別正轉(zhuǎn)1分、反轉(zhuǎn)1分,正反轉(zhuǎn)間停30秒;甩干時電機分別正轉(zhuǎn)1.5分,間停30秒)設(shè)定秒脈沖已給定,指示為LED,整過程完成后,蜂鳴器響30秒。整個設(shè)計為正邏輯。一、程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY xiyiji IS PORT(COUNT_N,COUNT_M,START,COOK,CLK:IN STD_LOGIC; LOOK:OUT STD_LOGIC; DOUT :OUT STD_LOGIC_VECTOR(1 DOWNTO 0);END XIYIJI;ARCHITECTURE BEHAV OF xiyiji ISSIGNAL DT1,DT2:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL DICSOUNT,TEM:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL DCP:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CT:STD_LOGIC_VECTOR(5 DOWNTO 0);SIGNAL CT1,CT2:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL SG,CMKS:STD_LOGIC;BEGIN PROCESS(COUNT_N,SG) BEGINIF SG=1 THENDT1=00;ELSIF COUNT_NEVENT AND COUNT_N=1 THENIF DT1=3 THENDT1=01;ELSEDT1=DT1+1;END IF;END IF;END PROCESS;PROCESS(COUNT_M,SG)BEGINIF SG=1 THENDT2=00;ELSIF COUNT_MEVENT AND COUNT_M=1 THENIF DT2=3 THENDT2=01;ELSEDT2=DT2+1;END IF;END IF;END PROCESS; PROCESS(START)BEGINIF SG=1 THENCMKS=0;ELSIF STARTEVENT AND START=1 THEN DICSOUNT=DT1&DT2;CMKS=CMKS XOR 1;END IF;END PROCESS; PROCESS(CLK,START,COOK)BEGINIF START=1 AND DCP=0000 THENDCP=DICSOUNT;ELSIF CLKEVENT AND CLK=1 THENIF COOK=1 THENDOUT0000 THENDOUT=00;ELSIF SG=1 THENIF CT10001 THENCT1=0000;SGIF CT35 THENCT=CT+1;IF CT18 THENDOUT=01;CT1=CT1+1;ELSIF CT1=8 THENDOUT=00;CT1=CT1+1;ELSIF CT28 THENDOUT=10;CT2=CT2+1;ELSIF CT2=8 THENDOUT=00;CT1=0000;CT2=0000;END IF;ELSEDCP=0110;CT=000000;CT1=0000;CT2=0000;DOUTIF CT27 THENCT=CT+1;IF CT16 THENDOUT=01;CT1=CT1+1;ELSIF CT1=6 THENDOUT=00;CT1=CT1+1;ELSIF CT26 THENDOUT=10;CT2=CT2+1;ELSIF CT2=6 THENDOUT=00;CT1=0000;CT2=0000;END IF;ELSEDCP=0111;CT=000000;CT1=0000;CT2=0000;DOUTIF CT8 THENCT=CT+1;IF CT13 THENDOUT=01;CT1=CT1+1;ELSIF CT1=3 THENDOUT=00;CT1=CT1+1;ELSIF CT23 THENDOUT=10;CT2=CT2+1;ELSIF CT2=3 THENDOUT=00;CT1=0000;CT2=0000;END IF;ELSEDCP=0000;CT=000000;CT1=0000;CT2=0000;SGIF CT27 THENCT=CT+1;IF CT16 THENDOUT=01;CT1=CT1+1;ELSIF CT1=6 THENDOUT=00;CT1=CT1+1;ELSIF CT26 THENDOUT=10;CT2=CT2+1;ELSIF CT2=6 THENDOUT=00;CT1=0000;CT2=0000;END IF;ELSEDCP=1010;CT=000000;CT1=0000;CT2=0000;DOUTIF CT15 THENCT=CT+1;IF CT13 THENDOUT=01;CT1=CT1+1;ELSIF CT1=3 THENDOUT=00;CT1=CT1+1;ELSIF CT23 THENDOUT=10;CT2=CT2+1;ELSIF CT2=3 THENDOUT=00;CT1=0000;CT2=0000;END IF;ELSEDCP=1011;CT=000000;CT1=0000;CT2=0000;DOUTIF CT8 THENCT=CT+1;IF CT13 THENDOUT=01;CT1=CT1+1;ELSIF CT1=3 THENDOUT=00;CT1=CT1+1;ELSIF CT23 THENDOUT=10;CT2=CT2+1;ELSIF CT2=3 THENDOUT=00;CT1=0000;CT2=0000;END IF;ELSEDCP=0000;CT=000000;CT1=0000;CT2=0000;SGIF CT19 THENCT=CT+1;IF CT14 THENDOUT=01;CT1=CT1+1;ELSIF CT1=4 THENDOUT=00;CT1=CT1+1;ELSIF CT24 THENDOUT=10;CT2=CT2+1;ELSIF CT2=4 THENDOUT=00;CT1=0000;CT2=0000;END IF;ELSEDCP=1110;CT=000000;CT1=0000;CT2=0000;DOUTIF CT11 THENCT=CT+1;IF CT12 THENDOUT=01;CT1=CT1+1;ELSIF CT1=2 THENDOUT=00;CT1=CT1+1;ELSIF CT22 THENDOUT=10;CT2=CT2+1;ELSIF CT2=2 THENDOUT=00;CT1=0000;CT2=0000;END IF;ELSEDCP=1111;CT=000000;CT1=0000;CT2=0000;DOUTIF CT8 THENCT=CT+1;IF CT13 THENDOUT=01;CT1=CT1+1;ELSIF CT1=3 THENDOUT=00;CT1=CT1+1;ELSIF CT23 THENDOUT=10;CT2=CT2+1;ELSIF CT2=3 THENDOUT=00;CT1=0000;CT2=0000;END IF;ELSEDCP=0000;CT=000000;CT1=0000;CT2=0000;SGDOUT=00;END CASE;END IF;END IF;END PROCESS;LOOK=SG; END BEHAV;二、仿真波形如下強洗全部過程強洗 漂洗、甩干強洗(甩干)標準全部過程標準(漂洗、甩干)標準(甩干)弱洗全過程弱洗(漂洗、甩干)九、弱洗(甩干)強開蓋子:一、強洗強開蓋一、 標準強開蓋二、 弱洗強開蓋暫停和啟動一、 強洗暫停二、 強洗重新啟動三、 標準暫停四、 標準重新啟動五、 弱洗暫停六、 弱洗重新啟動兩次洗衣一、 兩次強洗兩次標準(漂洗、甩干)二、 兩次弱甩干三、設(shè)計思想討論設(shè)計時參考了已有程序,此芯片有五個輸入和三個輸出,輸入COUNT_M和輸入COUNT_N是狀態(tài)控制鍵,輸入START是輸入啟動和暫停鍵,以及一個時鐘CLK。輸出是洗衣結(jié)束鈴聲端口和電機驅(qū)動端口。在設(shè)計中,為便于調(diào)試和觀察將時鐘周期假設(shè)為30S。本次設(shè)計大致可以分成兩個模塊來看待,控制端和工作端??刂撇糠质褂昧巳齻€進程來處理,進程一控制狀態(tài)COUNT_M,進程二控制狀態(tài)COUNT_N,進程三控制開始和暫停。工作部分使用了一個進程來處理,在該進程中主要處理強制開蓋,洗衣的各個狀態(tài),以及洗衣結(jié)束后的鈴聲??刂贫薈OUNT_M中,SG是工作結(jié)束標志信號,信號TS控制洗衣機的暫停和重新啟動,DT是狀態(tài)的中間變量。COOKK為強開洗衣機蓋子信號端,DCP輸入狀態(tài)中間信號。洗衣機正常工作過程:洗衣機總共有九種工作狀態(tài),這九種狀態(tài)可以分成三大部分,強洗,標準,弱洗,此三種狀態(tài)的洗衣過程類似,因此以強洗來解釋說明。洗滌完成后,將信號TP變換到漂洗狀態(tài),然后變換到甩干,甩干結(jié)束后將TP置零,以及輸出狀態(tài)置一。然后等待下次洗滌。CLK主分頻器洗滌定時器水流控制器正反向控制主分頻器四、心得體會這次EDA對我來說是一項很大的挑戰(zhàn),看到題目后幾乎沒有思路,翻閱教材,看了下自動洗衣機那張的講解,有了眉目,但是設(shè)計的內(nèi)容過于龐雜,很難下手。這時候,我選擇從已有的程序著手,先看懂,然后和同學(xué)討論思路,最后形成了這個作業(yè)。做仿真波形的時候,就是驗證結(jié)論的時候,過程還算順利。通過這次作業(yè),我覺得許多困難的事,需要借鑒已有的經(jīng)驗,請查閱資料,和同學(xué)交流討論,就基本可以完成。

注意事項

本文(設(shè)計基于VHDL的簡易全自動控制洗衣機.doc)為本站會員(good****022)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!