九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

電氣設(shè)計(jì)自動(dòng)化論文-PWM數(shù)字直流電機(jī)調(diào)速設(shè)計(jì)

  • 資源ID:116646748       資源大小:6.87MB        全文頁(yè)數(shù):31頁(yè)
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

電氣設(shè)計(jì)自動(dòng)化論文-PWM數(shù)字直流電機(jī)調(diào)速設(shè)計(jì)

合肥師范學(xué)院畢業(yè)設(shè)計(jì) 本科畢業(yè)論文(設(shè)計(jì))本科畢業(yè)論文(設(shè)計(jì)) (2015(2015 屆屆) ) PWM 數(shù)字直流電機(jī)調(diào)速 院 系 電子信息工程學(xué)院 專 業(yè) 電氣工程及其自動(dòng)化 姓 名 指導(dǎo)教師 2014 年 5 月 學(xué)號(hào):1108441029 合肥師范學(xué)院畢業(yè)設(shè)計(jì) II 目 錄 目 錄.II 摘 要1 ABSTRACT2 1 緒論 3 1.1 研究背景.3 1.2 國(guó)內(nèi)外研究現(xiàn)狀.2 1.3 研究的目的與意義 3 2 系統(tǒng)總體設(shè)計(jì) 3 2.1 設(shè)計(jì)要求 3 2.2 系統(tǒng)設(shè)計(jì)方案 4 2.2.1 系統(tǒng)框圖 4 2.2.2 主控芯片選擇 4 2.2.3 電機(jī)調(diào)速模塊的選擇 5 2.2.4PWM 調(diào)速方式的選擇.6 3 系統(tǒng)硬件設(shè)計(jì) 6 3.1 最小系統(tǒng)設(shè)計(jì).6 3.1.1 復(fù)位電路 7 3.1.2 晶振電路 8 3.2 鍵盤控制電路.9 3.3 直流電機(jī)電機(jī)驅(qū)動(dòng)電路.10 4 系統(tǒng)軟件設(shè)計(jì) .11 4.1 主程序流程圖.11 4.2 讀按鍵子程序流程圖 12 合肥師范學(xué)院畢業(yè)設(shè)計(jì) III 4.3 按鍵處理子程序流程圖.13 4.4 電機(jī)控制中斷程序流程圖 14 5 系統(tǒng)調(diào)試與改進(jìn) .15 5.1 調(diào)試與改進(jìn).15 5.2 運(yùn)行結(jié)果.16 結(jié)論與展望.19 致謝.20 參考文獻(xiàn).21 附錄 A:系統(tǒng)原理圖 .22 附錄 B:系統(tǒng) PCB 圖 .23 附錄 C:系統(tǒng)源程序 .24 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 1 摘摘 要要 本文是基于對(duì)直流電機(jī) PWM 調(diào)速器設(shè)計(jì)的研究,主要實(shí)現(xiàn)對(duì)直流電機(jī)的控制。本設(shè) 計(jì)主要是實(shí)現(xiàn) PWM 調(diào)速器的正轉(zhuǎn)、反轉(zhuǎn)、減速、加速、停止的五大操作。并實(shí)現(xiàn)電路的 仿真并設(shè)計(jì)實(shí)際電路進(jìn)行控制。為實(shí)現(xiàn)系統(tǒng)的微機(jī)控制,在設(shè)計(jì)中,采用了 STC89C51 單片機(jī)作為整個(gè)控制系統(tǒng)的控制電路的核心部分,驅(qū)動(dòng)模塊,實(shí)現(xiàn)通過(guò) PWM 波對(duì)電動(dòng)機(jī) 轉(zhuǎn)速參數(shù)的改變和測(cè)量;由命令輸入模塊、H 型驅(qū)動(dòng)模塊組成。采用帶中斷的獨(dú)立式鍵盤 作為命令的輸入,單片機(jī)在程序控制下,不斷給電路發(fā)送 PWM 波形,完成電機(jī)正反轉(zhuǎn)控 制.是通過(guò) H 型驅(qū)動(dòng)電路,采用 PWM 調(diào)速方式,通過(guò)改變 PWM 的占空比從而改變電動(dòng) 機(jī)的電樞電壓,進(jìn)而實(shí)現(xiàn)對(duì)電動(dòng)機(jī)的調(diào)速。設(shè)計(jì)的整個(gè)控制系統(tǒng),在硬件結(jié)構(gòu)上采用了 大量的集成電路模塊,大大簡(jiǎn)化硬件電路,提高了系統(tǒng)的穩(wěn)定性和可靠性,使整個(gè)系統(tǒng) 的性能得到提高。 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 2 Abstract This article is a DC motor PWM speed control design study, the main achievement of motor control. This course is primarily designed to achieve PWM speed controller for forward and reverse, acceleration, deceleration, and stop such an operation. And to achieve the circuit simulation. To achieve system, microcomputer control, in the design, using STC89C51 microcontroller control system as a whole, the core of the control circuit drive module enables the motor speed parameter display and measurement; from the command input module, Optical isolation module and H-drive module. With the stand-alone keyboard with a break as a command input, single-chip in the process control, continuing to the optical isolation circuit to send PWM waveform, H-type motor driving circuit to complete positive inversion control. In the design, using PWM speed mode, by changing the PWM duty cycle to change the motor armature voltage, so as to realize the speed of the motor. Design of the control system hardware structure with a large number of integrated circuit modules, greatly simplifying the hardware circuitry to improve stability and reliability of the system so that the whole system performance is improved. Key words: STC89C51 microcontroller; PWM speed; positive inversion control 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 3 1 緒論緒論 1.1 研究背景研究背景 在電氣行業(yè)中,隨著各項(xiàng)技術(shù)水平的不斷提高,使得傳統(tǒng)工藝有了深層次的提高, 對(duì)人類的生產(chǎn)與生活,產(chǎn)生了深刻且深遠(yuǎn)的影響,已經(jīng)與我們息息相關(guān)。 當(dāng)需要良好的啟動(dòng),制動(dòng)性能,并需要大范圍內(nèi)平滑調(diào)速時(shí),直流電機(jī)是一個(gè)很好 地選擇,因此在許多需要調(diào)速或快速正反向的電力拖動(dòng)系統(tǒng)中得到了廣泛的應(yīng)用。而且 從自動(dòng)控制的角度看 ,直流調(diào)速還是交流調(diào)速,電機(jī)拖動(dòng)與基礎(chǔ)都是該領(lǐng)域的基礎(chǔ)。由 模擬電路、運(yùn)算放大器、非線性集成電路以及少量的數(shù)字電路組成的直流電機(jī)調(diào)速控制 系統(tǒng)大多數(shù)都為早期的控制系統(tǒng),控制系統(tǒng)的硬件部分非常復(fù)雜,功能單一,而且系統(tǒng)非常不 靈活、調(diào)試?yán)щy, 觸發(fā)精度易受電網(wǎng)電壓波動(dòng)的影響,觸發(fā)脈沖不對(duì)稱度較大,調(diào)節(jié)器中 的運(yùn)算放大器,因網(wǎng)壓和溫度變化引起的漂移會(huì)產(chǎn)生運(yùn)算誤差,模擬器件老化也會(huì)引起 運(yùn)算誤差,甚至使已經(jīng)整定好的系統(tǒng)性能變差,這些都使直流電機(jī)控制技術(shù)的發(fā)展和應(yīng) 用范圍被阻礙。隨著單片機(jī)及一系列可編程邏輯控制器的發(fā)展,許多控制功能及其算法, 均可使用軟件技術(shù)來(lái)完成,大大的提高了電機(jī)控制的靈活性,快速性,使系統(tǒng)變得更加 穩(wěn)定而且使系統(tǒng)能達(dá)到了更高的性能,從而大大節(jié)約了人力資源,降低了系統(tǒng)成本,有效地提 高了工作效率。 單片機(jī)具有小巧靈活、成本低、易于產(chǎn)品化、可靠性好、適應(yīng)溫度范圍寬、易擴(kuò)展、 控制功能強(qiáng)等優(yōu)點(diǎn),模擬電路常常有復(fù)雜的電路功能,如果構(gòu)成控制器,就需要很多電 子元件,單片機(jī)的使用,很好地改變了這種現(xiàn)狀,電路簡(jiǎn)單,成為了很大的優(yōu)點(diǎn),單片 機(jī)形成的控制器,可以使用軟件實(shí)現(xiàn)復(fù)雜的控制。單片機(jī)內(nèi)部就像計(jì)算機(jī)一樣,有很強(qiáng) 的邏輯功能,而且運(yùn)算速度快,擁有大量的存儲(chǔ)單元。單片機(jī)的控制系統(tǒng)有較好的靈活 性。同時(shí)可以適應(yīng)在系統(tǒng)改變時(shí),可以實(shí)現(xiàn)不同功能,實(shí)現(xiàn)不斷變換參數(shù),使系統(tǒng)更優(yōu) 化。在此期間改變系統(tǒng)的功能,同時(shí)可以實(shí)現(xiàn)數(shù)字化控制,減小模擬信號(hào)控制間相互干 擾,減小模擬信號(hào)產(chǎn)生溫漂等不穩(wěn)定因素。單片機(jī)的使用有效的改變了零點(diǎn)漂移問(wèn)題,無(wú) 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 2 論被控量的大小,都可以保證足夠的控制精度可提供人機(jī)界面,多機(jī)聯(lián)網(wǎng)工作等優(yōu)點(diǎn)。所 以在電氣傳動(dòng)實(shí)時(shí)控制系統(tǒng)中受到重視和普遍應(yīng)用。利用單片機(jī)邏輯功能強(qiáng)和軟件靈活 的優(yōu)點(diǎn),不僅可使很多控制硬件軟件化,便于參數(shù)的設(shè)定和調(diào)整,而且可以同時(shí)對(duì)系統(tǒng) 工作中的各種信息數(shù)據(jù)進(jìn)行診斷、檢測(cè)和及時(shí)處理,加強(qiáng)了實(shí)時(shí)維護(hù)和提高了控制系統(tǒng) 的可靠性。它的發(fā)展趨勢(shì)將是向大容量、高性能化、外圍電路內(nèi)裝化等方面發(fā)展。 1.2 國(guó)內(nèi)外研究現(xiàn)狀國(guó)內(nèi)外研究現(xiàn)狀 我國(guó)直流電機(jī)的研究及制造起始于建國(guó)初期,從 50 年代后期到 60 年代后期,主要 是高等院校和科研機(jī)構(gòu)為研究一些裝置而使用或開(kāi)發(fā)少量產(chǎn)品。我國(guó)在建國(guó)初期后開(kāi)始 大量生產(chǎn)和應(yīng)用直流電機(jī),例如江蘇、浙江、北京、南京、四川等各地都有投入生產(chǎn), 而且都在各行業(yè)使用,其中的驅(qū)動(dòng)電路所有半導(dǎo)體器件都是完全國(guó)產(chǎn)化的,還有各種邏 輯運(yùn)算電路,同時(shí)還有電容耦合而構(gòu)成的計(jì)數(shù)器,各種觸發(fā)器以及分配器。 。至 80 年代 中期以來(lái),由于直流電機(jī)精確模型做了大量研究工作,各種混合式直流電機(jī)及驅(qū)動(dòng)器作 為產(chǎn)品廣泛利用。 在國(guó)外在一種減小成本等問(wèn)題上,提出了更為嚴(yán)格的要求,各種電路的成本,效率 等一些列工業(yè)化需求上,要求更為廉價(jià)的產(chǎn)品的出現(xiàn),但同時(shí)需要增強(qiáng)系統(tǒng)的穩(wěn)定性和 產(chǎn)品要求,直流電機(jī)還有廣泛的應(yīng)用市場(chǎng),但是大扭矩直流電機(jī)相比直流電動(dòng)機(jī)成本較 高,素以直流電機(jī)技術(shù)和經(jīng)濟(jì)指標(biāo)高。一些少數(shù)高級(jí)的應(yīng)用,就用空心轉(zhuǎn)杯電機(jī),交流 電機(jī)。國(guó)外在小功率的場(chǎng)合,還使用直流電機(jī),例如一些工業(yè)器材,工業(yè)生產(chǎn)裝備,打 印機(jī),復(fù)印件,速印機(jī),銀行自動(dòng)柜員機(jī)。目前,生產(chǎn)直流電機(jī)的廠家的確不少,但具有 專業(yè)技術(shù)人員,能夠自行開(kāi)發(fā),研制的廠家卻非常少,大部分的廠家只一、二十人,連 最基本的設(shè)備都沒(méi)有。僅僅處于一種盲目的仿制階段。這就給戶在產(chǎn)品選型、使用中造 成許多麻煩。雖然直流電機(jī)已被廣泛地應(yīng)用,但直流電機(jī)并不能象普通的直流電機(jī),交 流電機(jī)在常規(guī)下使用。它必須由雙環(huán)形脈沖信號(hào)、功率驅(qū)動(dòng)電路等組成控制系統(tǒng)方可使 用。因此用好直流電機(jī)卻非易事,它涉及到機(jī)械、電機(jī)、電子及計(jì)算機(jī)等許多專業(yè)知識(shí)。 1.3 研究的目的與意義研究的目的與意義 近年來(lái),科技發(fā)展的越來(lái)越快,直流電機(jī)具有良好的起動(dòng)特性和調(diào)速特性。其中 調(diào)速平滑,方便,可實(shí)現(xiàn)頻繁的無(wú)極快速起動(dòng)、制動(dòng)和反轉(zhuǎn),能承受很大負(fù)載, 需要滿足生產(chǎn)過(guò)程自動(dòng)化系統(tǒng)各種不同的特殊要求,但是隨著科學(xué)技術(shù)的不斷發(fā) 展,應(yīng)用場(chǎng)合的不斷擴(kuò)大,從而對(duì)直流電機(jī)提出了較高的要求,改變電樞回路電 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 3 阻調(diào)速、改變電壓調(diào)速等技術(shù)已經(jīng)不能完成時(shí)代所賦予的任務(wù)了,PWM 波調(diào)速的 方法的發(fā)現(xiàn),滿足了時(shí)代的要求。 采取傳統(tǒng)的調(diào)速系統(tǒng)主要有以下的缺陷:模擬電路所使用的模擬信號(hào)很容易 受到干擾,影響系統(tǒng)的穩(wěn)定,從而很容易隨時(shí)間飄移,會(huì)產(chǎn)生一些不必要的熱損 耗,以及溫度漂移等。而用 PWM 技術(shù)后,避免上述的缺點(diǎn),不僅簡(jiǎn)化了電路還實(shí) 現(xiàn)了通過(guò)電力電子器件改變開(kāi)關(guān)頻率,提高系統(tǒng)的穩(wěn)定性還有抗干擾能力。同時(shí) 還有模擬信號(hào)的不穩(wěn)定性很好地被數(shù)字信號(hào)所取代,很大程度地節(jié)約了成本。隨 著我國(guó)經(jīng)濟(jì)和文化事業(yè)的發(fā)展,科技的進(jìn)步,在很多場(chǎng)合,都要求有直流電機(jī) PWM 調(diào)速系統(tǒng)來(lái)進(jìn)行調(diào)速,諸如汽車行業(yè)中的各種風(fēng)扇、刮水器、噴水泵、熄火 器、反視鏡、賓館中的自動(dòng)門、自動(dòng)門鎖、自動(dòng)窗簾、自動(dòng)給水系統(tǒng)、柔巾機(jī)、 導(dǎo)彈、火炮、人造衛(wèi)星、宇宙飛船、艦艇、飛機(jī)、坦克、火箭、雷達(dá)、戰(zhàn)車等場(chǎng) 合。 2 系統(tǒng)總體設(shè)計(jì)系統(tǒng)總體設(shè)計(jì) 2.1 設(shè)計(jì)要求設(shè)計(jì)要求 本論文所選的直流電機(jī),鍵盤向單片機(jī)輸入相應(yīng)控制指令,由單片機(jī)通過(guò) P2.3 與 P2.4 其中一口輸出與轉(zhuǎn)速相應(yīng)的 PWM 脈沖,另一口輸出低電平,經(jīng)過(guò)信號(hào)放 大、驅(qū)動(dòng) H 型橋式電動(dòng)機(jī)控制電路,實(shí)現(xiàn)電動(dòng)機(jī)轉(zhuǎn)向與轉(zhuǎn)速的控制本設(shè)計(jì)的目的 是以單片機(jī)為核心設(shè)計(jì)出一個(gè)單片機(jī)控制直流電機(jī)的控制系統(tǒng)。主要實(shí)現(xiàn)功能: 5 個(gè)按鍵控制整個(gè)電路,對(duì)應(yīng)功能分別是:正轉(zhuǎn)、反轉(zhuǎn)、復(fù)位、速度加、速度減; 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 4 2.2 系統(tǒng)設(shè)計(jì)方案系統(tǒng)設(shè)計(jì)方案 2.2.1 系統(tǒng)框圖 硬件主要以單片機(jī)為核心,本次設(shè)計(jì)選用的直流電機(jī),通過(guò)軟件和硬件的結(jié)合改 變 PWM 波控制直流電機(jī)的啟停、正轉(zhuǎn)、反轉(zhuǎn)、加速、減速功能。主要通過(guò)三大塊 來(lái)設(shè)計(jì),包括驅(qū)動(dòng)電路的設(shè)計(jì)和按鍵部分是設(shè)計(jì)。 本設(shè)計(jì)的系統(tǒng)總框圖如圖 2-1 所示 電源模塊 鍵盤控制模塊 單片機(jī)電機(jī)驅(qū)動(dòng)模塊 圖 2-1 總體設(shè)計(jì)框圖 2.2.2 主控芯片選擇 單片機(jī)在中國(guó)市場(chǎng)上任然是應(yīng)用最廣泛的一種芯片,其作為微型計(jì)算機(jī)的一個(gè)重 要分支,在控制領(lǐng)域里應(yīng)用及其廣泛,故也可稱為微控制器。大多數(shù)單片機(jī)都是 由集成電路芯片組成,內(nèi)部包含著計(jì)算機(jī)的基本功能部件,如處理器,存儲(chǔ)器和 I/O 接口電路等。通過(guò)擴(kuò)張的外圍設(shè)備組成一個(gè)完整的控制系統(tǒng)。然而單片機(jī)往 往不能滿足控制系統(tǒng)的要求,需要增強(qiáng)單片機(jī)的性能,從而市場(chǎng)上出現(xiàn)了各種增 強(qiáng)型單片機(jī)來(lái)滿足市場(chǎng)的要求。有增加 ROM 來(lái)增強(qiáng)速度,有改變工作電壓來(lái)增強(qiáng) 穩(wěn)定性,有的也增強(qiáng)輔助功能,如增加各種接口等輔助模塊來(lái)增強(qiáng)其性能。單片 機(jī)在相對(duì)發(fā)展時(shí)間上看上去,發(fā)展時(shí)間較長(zhǎng),從最初可以支持簡(jiǎn)單易學(xué)的編程語(yǔ) 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 5 言,從匯編到現(xiàn)在的 C 語(yǔ)言等其他單片機(jī)支持的語(yǔ)言,同時(shí)還有各種編程與其相 適應(yīng)的工具,并有大量的知道資料,指引其適合市場(chǎng)發(fā)展。同時(shí)單片機(jī)可以在大 多數(shù)溫度下工作,并且較穩(wěn)定和一定的抗干擾能力。單片機(jī)在工業(yè)上,商業(yè)上, 軍工產(chǎn)品上使用在功耗和抗干擾方面,本控制系統(tǒng)的要求都不高,一般的單片機(jī) 芯片都能滿足要求。 鑒于以上單片機(jī)的有點(diǎn),STC89C51 單片機(jī)具有單片機(jī)的優(yōu)點(diǎn)同時(shí)兼容性比較好, 因此作為主控芯片,且足夠本設(shè)計(jì)運(yùn)行,且價(jià)格便宜,下載程序方便。 2.2.3 電機(jī)調(diào)速模塊的選擇 方案一:可以采用電阻網(wǎng)絡(luò)或數(shù)字電位器改變直流電機(jī)的電壓,從而達(dá)到調(diào)速的 目的。但是又具有局限性,電阻網(wǎng)絡(luò)只能實(shí)現(xiàn)有級(jí)調(diào)速,而數(shù)字電阻的元器件價(jià)格比 較昂貴。然而最大的局限性在于大多數(shù)電動(dòng)機(jī)的電阻很小,但電流很大;這樣分壓不 僅會(huì)降低直流電機(jī)的工作效率,而且在大多數(shù)情況下很難實(shí)現(xiàn)。 方案二:繼電器的出現(xiàn),出現(xiàn)了對(duì)電動(dòng)機(jī)新的控制方法,對(duì)電動(dòng)機(jī)開(kāi)或關(guān)進(jìn)行控 制,速度的變換可以通過(guò)控制器的開(kāi)合關(guān)來(lái)控制。但是也有其優(yōu)缺點(diǎn),優(yōu)點(diǎn)是電路較 為簡(jiǎn)單,但是響應(yīng)時(shí)間慢、機(jī)械結(jié)構(gòu)易損壞、壽命較短、可靠性不高也成為了它的致 命缺點(diǎn)。 方案三:還有采用由晶閘管和二極管組成的 H 型 PWM 電路。晶閘管和二極管的 組合導(dǎo)通狀態(tài),使之工作在占空比可調(diào)的開(kāi)關(guān)狀態(tài),電動(dòng)機(jī)的轉(zhuǎn)速和方向被控制,不 同狀況下,H 型電路工作效率不同,在飽和截止?fàn)顟B(tài)下,能實(shí)現(xiàn)電機(jī)很好地控制,電 子器件的使用,極大地提高了控制速度和穩(wěn)定性,是一種被廣泛采用的一種 PWM 調(diào) 速技術(shù)。 對(duì)于上面三種方案的分析可知,方案三具有很好地適用于本系統(tǒng)的設(shè)計(jì),能滿足 設(shè)計(jì)的要求,能提高其系統(tǒng)的各種性能。 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 6 2.2.4PWM 調(diào)速方式的選擇 脈寬調(diào)制(PWM):脈寬調(diào)制是一種新型控制方法,是一種不改變脈沖周期, 只改變輸出電壓半個(gè)周期內(nèi),改變其寬度并與整個(gè)周期內(nèi)形成一個(gè)占空比,在改變占 空比的時(shí)候,實(shí)現(xiàn)控制效果。但是在此期間電壓的幅值不變的情況下,實(shí)現(xiàn)變壓的效 果。如果改變周期,就可以實(shí)現(xiàn)變頻變壓。這種方法稱為 PWM(Pule Width Modulation)調(diào)制,PWM 調(diào)制可以直接在逆變器中完成電壓與頻率的同時(shí)變化,控 制電路比較簡(jiǎn)單。 方案一:雙極性工作制。雙極性工作制是指一個(gè)脈沖周期內(nèi),電機(jī)的轉(zhuǎn)速和方向 通過(guò)兩個(gè)高低信號(hào)的切換來(lái)改變,單片機(jī)輸出信號(hào)帶達(dá)到這種目的。 方案二:?jiǎn)螛O性工作制。這種方法雖然也是兩個(gè)控制輸出,但是兩個(gè)輸出信號(hào)形 式的不同來(lái)改變電機(jī)的轉(zhuǎn)速和方向。通過(guò)兩口的低電平和 PWM 波的切換來(lái)改變。 從上面的分析上看,鑒于操作應(yīng)該簡(jiǎn)單等要求,可以使用單極性工作制。 3 系統(tǒng)硬件設(shè)計(jì)系統(tǒng)硬件設(shè)計(jì) 3.1 最小系統(tǒng)設(shè)計(jì)最小系統(tǒng)設(shè)計(jì) 單片機(jī)最小系統(tǒng),或者稱為最小應(yīng)用系統(tǒng),是指用最少的元件組成的單片機(jī)可以 工作的系統(tǒng)。對(duì) 51 系列單片機(jī)來(lái)說(shuō),最小系統(tǒng)一般應(yīng)該包括:單片機(jī)、晶振電路、復(fù) 位電路。下面給出一個(gè) 51 單片機(jī)的最小系統(tǒng)電路圖。 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 7 P10 1 P11 2 P12 3 P13 4 P14 5 P15 6 P16 7 P17 8 RST 9 P30 10 P31 11 P32 12 P33 13 P34 14 P35 15 P36 16 P37 17 XTAL1 18 XTAL2 19 GND 20 P20 21 P21 22 P22 23 P23 24 P24 25 P25 26 P26 27 P27 28 PS EN 29 ALE 30 EA 31 VCC 40 P00 39 P01 38 P02 37 P03 36 P04 35 P05 34 P06 33 P07 32 U1 STC89C52 R1 220 R2 100 SF SW-PB P30 P31 Y1 CRYSTAL C1 30pF C2 30pF C3 1uF VCC 圖 3-1 單片機(jī)的最小系統(tǒng) 3.1.1 復(fù)位電路 單片機(jī)在系統(tǒng)運(yùn)行過(guò)程中,不同的工作電壓可以達(dá)到不同的效果,保持工作時(shí)的 一個(gè)電壓,若超過(guò)或者低于一定范圍內(nèi)的電壓?jiǎn)纹瑱C(jī)才能正常工作,因此在某個(gè)范圍 的電壓稱為復(fù)位電壓,通常單片機(jī)內(nèi)都是時(shí)序數(shù)字電路,因此超過(guò)復(fù)位電壓或者低于 復(fù)位電壓,單片機(jī)才能有穩(wěn)定的時(shí)鐘信號(hào),才能正常工作。單片機(jī)復(fù)位在系統(tǒng)中極其 重要,就好像電腦死機(jī)一樣,必須需要重啟功能才能恢復(fù)系統(tǒng)的正常運(yùn)行,單片機(jī)也 一樣,當(dāng)系統(tǒng)程序跑飛等其他情況就需要使程序重新開(kāi)始運(yùn)行,從而使系統(tǒng)穩(wěn)定。 復(fù)位電路由按鍵復(fù)位和上電復(fù)位兩部分組成。 (1)上電復(fù)位:上電復(fù)位顧名思義為高電平復(fù)位,當(dāng)復(fù)位引腳接入一定電壓,并和 電阻、電容形成一個(gè)回路,保證在商店過(guò)程中形成高電平,通常電阻和電容的典型值 為 10K 和 10uF。 (2)按鍵復(fù)位:是指在鍵盤操作下形成高電平,也就是相當(dāng)于有一個(gè)開(kāi)關(guān),開(kāi)關(guān)的 閉合與否決定了復(fù)位引腳是否為高電平,其中電路中有一個(gè)電容,通過(guò)電壓的充電來(lái) 保持單片機(jī)復(fù)位。 本系統(tǒng)采用按鍵復(fù)位,在單片機(jī)啟動(dòng) 0.1S 后,電容 C 兩端的電壓持續(xù)充電為 5V,這是時(shí)候 10K 電阻兩端的電壓接近于 0V,RST 處于低電平所以系統(tǒng)正常工作。 當(dāng)按鍵按下的時(shí)候,開(kāi)關(guān)導(dǎo)通,這個(gè)時(shí)候電容兩端形成了一個(gè)回路,電容被短路,所 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 8 以在按鍵按下的這個(gè)過(guò)程中,電容開(kāi)始釋放之前充的電量。隨著時(shí)間的推移,電容的 電壓在 0.1S 內(nèi),從 5V 釋放到變?yōu)榱?1.5V,甚至更小。根據(jù)串聯(lián)電路電壓為各處之 和,這個(gè)時(shí)候 10K 電阻兩端的電壓為 3.5V,甚至更大,所以 RST 引腳又接收到高電 平。單片機(jī)系統(tǒng)自動(dòng)復(fù)位。 3.1.2 晶振電路 晶振是電路中常用用的時(shí)鐘元件,全稱是叫晶體震蕩器,在單片機(jī)系統(tǒng)里晶振的 作用非常大,他結(jié)合單片機(jī)內(nèi)部的電路,產(chǎn)生單片機(jī)所必須的時(shí)鐘頻率,單片機(jī)的一 切指令的執(zhí)行都是建立在這個(gè)基礎(chǔ)上的,晶振的提供的時(shí)鐘頻率越高,那單片機(jī)的運(yùn) 行速度也就越快。 晶振的使用,使單片機(jī)在運(yùn)行過(guò)程中擁有穩(wěn)定的狀態(tài),晶振的作用是為系統(tǒng)提供 基本的時(shí)鐘信號(hào)。晶振作為系統(tǒng)的時(shí)鐘信號(hào),通常與單片機(jī)系統(tǒng)內(nèi)各部分保持同步。 晶振通常與鎖相環(huán)電路配合使用,以提供系統(tǒng)所需的時(shí)鐘頻率。如果不同子系統(tǒng) 需要不同頻率的時(shí)鐘信號(hào),可以用與同一個(gè)晶振相連的不同鎖相環(huán)來(lái)提供 單片機(jī)的腳 XTAL0 和腳 XTAL1 構(gòu)成的振蕩電路時(shí),晶振組成的電路是系統(tǒng)內(nèi) 部產(chǎn)生不穩(wěn)定因素,但是不影響系統(tǒng)內(nèi)部的穩(wěn)定,改變這種情況,通常運(yùn)用電容來(lái)改 變和消除諧波。 3.2 鍵盤控制電路鍵盤控制電路 鍵盤相當(dāng)于人的眼睛,鍵盤在單片機(jī)應(yīng)用系統(tǒng)中能實(shí)現(xiàn)向單片機(jī)輸入數(shù)據(jù)、傳送 命令等功能,是人工干預(yù)單片機(jī)的主要手段。但是鍵盤實(shí)質(zhì)是一組按鍵開(kāi)關(guān)的集合。 通過(guò)運(yùn)用機(jī)械原理的開(kāi)關(guān)來(lái)達(dá)到控制的效果,在實(shí)際情況中防止鍵盤的震蕩,因?yàn)闄C(jī) 械方面的原因,在按鍵盤過(guò)程中,由于時(shí)間和機(jī)械原因,會(huì)產(chǎn)生一定的抖動(dòng),按下時(shí) 和松開(kāi)始均產(chǎn)生抖動(dòng)且為無(wú)效狀態(tài),在其兩者時(shí)間差中才可以產(chǎn)生有效狀態(tài),因此需 要一個(gè)延時(shí)狀態(tài),來(lái)消除抖動(dòng)。 根據(jù)按鍵的閉合與否,反映在輸出電壓上就是呈現(xiàn)出高電平或低電平。輸出電壓 的檢測(cè),便可以判斷鍵盤按鍵是否按下,但是必須擁有用一定的方法對(duì)鍵盤進(jìn)行掃描。 在本設(shè)計(jì)的系統(tǒng)中,鍵盤的按鍵是否按下及其機(jī)械原因,為了防止外界條件的干擾, 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 9 確保每次按鍵有效,必須要消除抖動(dòng)?,F(xiàn)在主要消除按鍵抖動(dòng)有硬件、軟件兩種方法。 但是硬件消抖電路設(shè)計(jì)復(fù)雜,且不合實(shí)際情況,在此不再詳細(xì)敘述;然而軟件消抖適 合按鍵較多的情況,方便簡(jiǎn)單。其原理是在第一次檢測(cè)到有鍵按下時(shí),執(zhí)行一段延時(shí) 程序后在確認(rèn)該鍵電平是否仍保持閉合狀態(tài)電平,如果保持閉合狀態(tài)電平則確認(rèn)為真 正有鍵按下,從而消除了抖動(dòng)的影響。其原理圖如圖 3.2 所示: 圖 3-2 鍵盤控制模塊原理圖 3.3 直流電機(jī)電機(jī)驅(qū)動(dòng)電路直流電機(jī)電機(jī)驅(qū)動(dòng)電路 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 10 電動(dòng)機(jī) PWM 驅(qū)動(dòng)模塊的電路設(shè)計(jì)與實(shí)現(xiàn)具體電路見(jiàn)下圖。本電路采用的是基于 PWM 原理的 H 型橋式驅(qū)動(dòng)電路。 4 系統(tǒng)軟件設(shè)計(jì)系統(tǒng)軟件設(shè)計(jì) 電機(jī)控制系統(tǒng)的軟件需要同時(shí)完成讀取鍵盤、處理鍵盤、控制直流電機(jī)電機(jī)轉(zhuǎn)動(dòng) 等任務(wù),這就必須通過(guò)中斷技術(shù)來(lái)實(shí)現(xiàn)。 在本設(shè)計(jì)中,主程序采用查詢方式掃描鍵盤端口,檢測(cè)按鍵動(dòng)作是否發(fā)生,若有 按鍵動(dòng)作則處理鍵盤,根據(jù)按鍵值修改相應(yīng)參數(shù)值,實(shí)現(xiàn)鍵盤的實(shí)時(shí)處理功能。定時(shí) 器 0 中斷服務(wù)程序控制直流電機(jī)的轉(zhuǎn)動(dòng);根據(jù)轉(zhuǎn)動(dòng)方向控制位的值,控制脈沖信號(hào)循 環(huán)移動(dòng)的方向,達(dá)到對(duì)轉(zhuǎn)動(dòng)方向控制的目的。 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 11 4.1 主程序流程圖主程序流程圖 系統(tǒng)分為電機(jī)正轉(zhuǎn)、電機(jī)反轉(zhuǎn)、電機(jī)加速與電機(jī)減速的幾部分組成,其主程序框 圖如圖 4-1 所示: 開(kāi)始 初始化 調(diào)按鍵,顯示子查詢 調(diào)用正反轉(zhuǎn)按鍵子程序 調(diào)用加減速子程序停止 圖 4-1 直流電機(jī)主程序流程圖 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 12 4.2 讀按鍵子程序流程圖讀按鍵子程序流程圖 按鍵采用掃描的方法,掃描時(shí)間的不同和不同時(shí)間的檢測(cè)與初始值相比,如果相 等則說(shuō)明沒(méi)有鍵按下,不相等則表示已經(jīng)按了但是需要一定的時(shí)間來(lái)判斷,以便確認(rèn) 是否真的有鍵按下。延時(shí) 10ms 后再次掃描,第二次與初始值比較,若相等則表明前 一次比較不相等是由抖動(dòng)產(chǎn)生;如果相等則表明確實(shí)有鍵按下。執(zhí)行鍵盤之程序里的 指令,將相應(yīng)的變量值改變,為鍵盤處理子程序做準(zhǔn)備。如圖 4-2 所示: 啟動(dòng) 是否有按鍵按下 處理子程序 是 否 圖 4-2 掃描鍵盤字程序流程圖 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 13 4.3 按鍵處理子程序按鍵處理子程序流程圖流程圖 按鍵處理子程序流程圖如圖 4-3 所示: 開(kāi)始 占空比50% 加速鍵按下 減速鍵按下 結(jié)束 增加占空比 減小占空比 否 否 是 是 圖 4-3 鍵盤處理子程序流程圖 直流電機(jī)的啟??刂仆ㄟ^(guò)啟停定時(shí)器 T0 來(lái)實(shí)現(xiàn),因?yàn)槎〞r(shí)器 T0 控制著脈沖信號(hào) 的輸出,關(guān)閉定時(shí)器 T0 也就阻止了脈沖信號(hào)的輸出。 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 14 4.4 電機(jī)控制中斷程序流程圖電機(jī)控制中斷程序流程圖 定時(shí)器中斷 0 服務(wù)程序流程圖如圖 4-4 所示: 開(kāi)始 設(shè)置T0時(shí)間參數(shù) 判斷按鍵 有控制位輸 出脈中 退出 圖 4-4 定時(shí)器中斷 0 服務(wù)程序流程圖 定時(shí)器中斷 0 服務(wù)程序的中斷時(shí)間由當(dāng)前的轉(zhuǎn)速?zèng)Q定。進(jìn)入中斷程序后,首先要 保護(hù)現(xiàn)場(chǎng),再根據(jù)當(dāng)前值設(shè)置 TH0 和 TL0 的值。然后判斷轉(zhuǎn)動(dòng)方向控制位的值, 最后恢復(fù)現(xiàn)場(chǎng),返回,等待下次中斷。 通過(guò)用當(dāng)前轉(zhuǎn)速控制中斷時(shí)間,控制了脈沖的輸出頻率,也就到達(dá)了直流電機(jī)轉(zhuǎn) 動(dòng)速度的目的;通過(guò)檢測(cè)方向控制位的電平,控制了直流各引出端的接通順序, 也就到實(shí)現(xiàn)了直流電機(jī)轉(zhuǎn)動(dòng)方向的控制。 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 15 5 系統(tǒng)調(diào)試與改進(jìn)系統(tǒng)調(diào)試與改進(jìn) 5.1調(diào)試與改進(jìn)調(diào)試與改進(jìn) 軟件測(cè)試的時(shí)候也有些問(wèn)題,主要有: 1.軟件去抖方式,和時(shí)間的控制。 2.控制直流電機(jī)轉(zhuǎn)動(dòng)的程序段完成后,調(diào)試發(fā)現(xiàn)對(duì)直流電機(jī)速度的控制范圍過(guò)小, 查閱資料后發(fā)現(xiàn)設(shè)計(jì)思路不太合理,原先的設(shè)計(jì)思路是用主程序控制直流電機(jī)轉(zhuǎn) 動(dòng),采用延時(shí)方式控制直流電機(jī)速度,由定時(shí)器處理鍵盤;改進(jìn)程序,主程序用 來(lái)處理鍵盤,由定時(shí)器控制直流電機(jī)轉(zhuǎn)動(dòng),直流電機(jī)轉(zhuǎn)動(dòng)速度由定時(shí)器定時(shí)時(shí)間 決定。問(wèn)題得到解決,不僅擴(kuò)大了直流電機(jī)速度的控制范圍,也使得單片機(jī)對(duì)直 流電機(jī)速度的控制更加精確。 由于編譯只能檢查是否存在語(yǔ)法錯(cuò)誤,所以還要看是否存在邏輯錯(cuò)誤。程序修改 好以后,當(dāng)顯示編譯 0 錯(cuò)誤,0 警告的時(shí)候,這說(shuō)明已經(jīng)沒(méi)有語(yǔ)法錯(cuò)誤了,是否 有邏輯錯(cuò)誤還要看接上電路板通過(guò)仿真以后,直流電機(jī)能否正常轉(zhuǎn)動(dòng),顯示是否 正常。 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 16 5.2 運(yùn)行結(jié)果運(yùn)行結(jié)果 電機(jī)正轉(zhuǎn) 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 17 電機(jī)反轉(zhuǎn) 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 18 電機(jī)停止 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 19 結(jié)論與展望結(jié)論與展望 在把理論設(shè)計(jì)轉(zhuǎn)換成實(shí)物的整個(gè)過(guò)程,如:電路設(shè)計(jì)、分析計(jì)算、畫(huà)電路圖、焊 接電路、檢查調(diào)試、軟件流程控制設(shè)計(jì)分析、編寫調(diào)試軟件、燒寫軟件到整個(gè)軟 硬件系統(tǒng)的調(diào)試,最后直到系統(tǒng)完成。其中整個(gè)系統(tǒng)的前期準(zhǔn)備是首先必須做到 位的,如控制什么、用什么控制、得到什么結(jié)果,進(jìn)而對(duì)各部分應(yīng)選擇具體的芯 片作進(jìn)一步的考慮,以使系統(tǒng)得到最優(yōu)的表現(xiàn)。 通過(guò)本課題,一方面我在查閱資料的基礎(chǔ)上,了解 STC89C51 單片機(jī)控制的一些 基本技術(shù),掌握其控制系統(tǒng)的分析方法與實(shí)現(xiàn)方法,能對(duì)單片機(jī)外圍電路設(shè)計(jì)進(jìn) 行系統(tǒng)學(xué)習(xí)與掌握;另一方面,在設(shè)計(jì)直流電機(jī)控制系統(tǒng)的硬件電路,控制程序 和相應(yīng)的電路圖時(shí),應(yīng)充分運(yùn)用說(shuō)學(xué)知識(shí),善于思考,琢磨,分析。 我們的學(xué)習(xí)不但要立足于書(shū)本,以解決理論和實(shí)際教學(xué)中的實(shí)際問(wèn)題為目的,還 要以實(shí)踐相結(jié)合,理論問(wèn)題即實(shí)踐課題,解決問(wèn)題即課程研究,學(xué)生自己就是一 個(gè)專家,通過(guò)自己的手來(lái)解決問(wèn)題比用腦子解決問(wèn)題更加深刻。學(xué)習(xí)就應(yīng)該采取 理論與實(shí)踐結(jié)合的方式,理論的問(wèn)題,也就是實(shí)踐性的課題。這種做法既有助于 完成理論知識(shí)的鞏固,又有助于帶動(dòng)實(shí)踐,解決實(shí)際問(wèn)題,加強(qiáng)我們的動(dòng)手能力 和解決問(wèn)題的能力。 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 20 致謝致謝 這次畢業(yè)設(shè)計(jì),凝結(jié)了很多人的心血,在此我表示由衷的感謝。沒(méi)有他們的幫助, 我將無(wú)法順利完成這次設(shè)計(jì)。 首先,我要特別感謝老師對(duì)我的悉心指導(dǎo),在畢業(yè)設(shè)計(jì)期間曲老師指導(dǎo)我、幫助 我收集文獻(xiàn)資料,理清設(shè)計(jì)思路,完善操作方法,并對(duì)我所做的設(shè)計(jì)提出有效的改進(jìn) 方案。老師淵博的知識(shí)、嚴(yán)謹(jǐn)?shù)淖黠L(fēng)、誨人不倦的態(tài)度和學(xué)術(shù)上精益求精的精神讓我 受益終生。作 為一個(gè)本科生的畢業(yè)設(shè)計(jì),由于經(jīng)驗(yàn)的匱乏,難免有許多考慮不周全 的地方,如果沒(méi)有導(dǎo)師的督促指導(dǎo),想要完成這個(gè)設(shè)計(jì)是難以想象的。因此,特別需 要感謝曲培新老師給予的耐心細(xì)致的指導(dǎo),在此,再一次向曲培新教師以及關(guān)心幫助 我的教師同學(xué)表示最誠(chéng)摯的謝意! 其次,學(xué)校在這方面也給我們提供了很大的支持和幫助,學(xué)校領(lǐng)導(dǎo)比較重視,每 個(gè)設(shè)計(jì)小組配有專門的指導(dǎo)老師,幫助我們能順利完成整個(gè)設(shè)計(jì)。對(duì)于學(xué)校和老師為 我的畢業(yè)設(shè)計(jì)所提供的極大幫助和關(guān)心,在此我致以衷心的感謝! 最后,通過(guò)這一段時(shí)間的學(xué)習(xí),鞏固了以前學(xué)的知識(shí),為更好走入社會(huì)。同時(shí)也 要感謝身邊朋友的熱心幫助,沒(méi)有你們的關(guān)心與支持,我不可能這么快完成我的畢業(yè) 設(shè)計(jì)!這幾個(gè)月的歲月是我學(xué)生生涯中最有價(jià)值的一段時(shí)光,也將會(huì)成為我以后永遠(yuǎn) 的美好的回憶,在這里有治學(xué)嚴(yán)謹(jǐn)而不失親切的老師,也有互相幫助情同骨肉的同學(xué), 更有和諧、融洽的學(xué)習(xí)生活氛圍,這里將是我永遠(yuǎn)向往的地方。借此論文之際,我想 向所有人表達(dá)我的最誠(chéng)摯的謝意,愿我們將來(lái)都越來(lái)越好。 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 21 參考文獻(xiàn)參考文獻(xiàn) 1張友德等,單片機(jī)原理應(yīng)用與實(shí)驗(yàn)M,復(fù)旦大學(xué)出版社 1992. 2張毅剛,彭喜源,譚曉鈞,曲春波.MCS51 單片機(jī)應(yīng)用設(shè)計(jì)M.哈爾濱工業(yè)大學(xué) 出版社 2001.1. 3宋慶環(huán),才衛(wèi)國(guó),高志,89C51 單片機(jī)在直流電動(dòng)機(jī)調(diào)速系統(tǒng)中的應(yīng)用M。唐山 學(xué)院,2008.4 4陳 錕 危立輝,基于單片機(jī)的直流電機(jī)調(diào)速器控制電路J,中南民族大學(xué)學(xué)報(bào) (自然科學(xué)版),2003.9. 5李維軍 韓小剛 李 晉,基于單片機(jī)用軟件實(shí)現(xiàn)直流電機(jī) PWM 調(diào)速系統(tǒng)J,維普 資訊,2007.9 6曹巧媛.單片機(jī)原理及應(yīng)用M.北京,電子工業(yè)出版社,1997. 7劉大茂,嚴(yán)飛.單片機(jī)應(yīng)用系統(tǒng)監(jiān)控主程序的設(shè)計(jì)方法J.福州大學(xué)學(xué)報(bào)(自然科學(xué) 福建農(nóng)林大學(xué)碩士論文版),1998.2. 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 22 附錄附錄 A:系統(tǒng)原理圖:系統(tǒng)原理圖 3K R1 3K R2 Q1 S8050 Q2 S8050 2K R3 2K R4 VCC9v Q3 S8050 Q4 S8050 Q5 S8550 Q6 S8550 D1 4148 D2 4148 D3 4148 VCC9v D4 4148 PWMFPWMZ 1 2 P2 DC MOTOR P1.0 1 P1.1 2 P1.2 3 P1.3 4 P1.4 5 P1.5/MOSI 6 P1.6/MISO 7 P1.7/SCK 8 RESET 9 P3.0 10 P3.1 11 P3.2 12 P3.3 13 P3.4 14 P3.5 15 P3.6 16 P3.7 17 XTAL2 18 XTAL1 19 VSS 20 P2.0 21 P2.1 22 P2.2 23 P2.3 24 P2.4 25 P2.5 26 P2.6 27 P2.7 28 PSEN 29 ALE 30 EA 31 P0.7 32 P0.6 33 P0.5 34 P0.4 35 P0.3 36 P0.2 37 P0.1 38 P0.0 39 VCC 40 U0 AT89S52 VCC VCC 12 Y1 30pFC1 30pFC2 1uF C0 100K R0 VCC S0 SW-PB D0 1K R5 Vin 1 Gnd 2 +5V 3 LM7805 VCC VCC9v 1 2 P0 DC9V P3.1 P3.2 P3.3 P3.4 P3.5 fanzhuan jia jian ting 12 zhengzhuan P3.1 P3.2 P3.3 P3.4 P3.5 PWMF PWMZ 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 23 附錄附錄 B:系統(tǒng):系統(tǒng) PCB 圖圖 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 24 附錄附錄 C:系統(tǒng)源程序:系統(tǒng)源程序 #include #define uchar unsigned char/數(shù)據(jù)類型宏定義 #define uint unsigned int sbit key1 = P31; /定義加速按鍵 sbit key2 = P32; /定義減速按鍵 sbit key3 = P33; /定義正轉(zhuǎn)按鍵 sbit key4 = P34; /定義反轉(zhuǎn)按鍵 sbit key5 = P35; /定義停止按鍵 sbit PWMZ = P23; /定義調(diào)速端口 sbit PWMF = P24; /定義調(diào)速端口 unsigned char CYCLE; /定義周期 該數(shù)字 X 基準(zhǔn)定時(shí)時(shí)間 如果是 10 則周期是 10 x 0.1ms unsigned char PWM_ON ;/定義高電平時(shí)間 uchar flag; /*/ /* 延時(shí)函數(shù) Xms */ /*/ void delay(uint z) uint x,y; for(x=z;x0;x-) for(y=110;y0;y-); /*/ /* 獨(dú)立鍵盤掃描函數(shù) */ /*/ void keyscan() P3=0 xff;/拉高 P3 口,以讀取 P3 口的值 if(key1=0)/鍵 1 被按下 delay(5);/延時(shí)消抖 if(key1=0) PWM_ON+; if(PWM_ON=CYCLE) PWM_ON=CYCLE; 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 25 while(!key1); delay(5);/延時(shí)消抖 while(!key1);/松手檢測(cè) if(key2=0) delay(5); if(key2=0) PWM_ON-; if(PWM_ON=1) PWM_ON=1; while(!key2); delay(5); while(!key2); if(key3=0)/鍵 3 被按下 delay(5); if(key3=0) flag=1; TR0=1; PWMF = 0; while(!key3); delay(5);/延時(shí)消抖 while(!key3);/松手檢測(cè) if(key4=0) delay(5); if(key4=0) flag=0; TR0=1; PWMZ=0; while(!key4); delay(5); while(!key4); if(key5=0) delay(5); if(key5=0) TR0=0; PWMZ = 0; PWMF = 0; while(!key5); delay(5); while(!key5); 合肥師范學(xué)院畢業(yè)設(shè)計(jì) 26 /*/ /* 主函數(shù) */ /*/ void main() TMOD |=0 x01;/定時(shí)器設(shè)置 1ms in 12M crystal TH0=(65536-1000)/256; TL0=(65536-1000)%256;/定時(shí) 1mS IE= 0 x82; /打開(kāi)中斷 TR0=1; flag=1; /初始狀態(tài)正轉(zhuǎn) PWMZ = 1; PWMF = 0; CYCLE = 10;/ 時(shí)間可以調(diào)整 這個(gè)是 10 步調(diào)整 周期 10ms 8 位 PWM 就是 256 步 PWM_ON = 5;/初始占空比為 50% while(1) keyscan(); /*/ /* 定時(shí)器中斷函數(shù):控制產(chǎn)生 PWM 波 */ /*/ void tim(void) interrupt 1 using 1 static unsigned char count; / TH0=(65536-1000)/256; TL0=(65536-1000)%256;/定時(shí) 1mS if(flag=1) if (count=PWM_ON) PWMZ = 1; else PWMZ = 0; if(flag=0) if (count=PWM_ON) PWMF = 1; else PWMF = 0; count+; if(count = CYCLE) count=0;

注意事項(xiàng)

本文(電氣設(shè)計(jì)自動(dòng)化論文-PWM數(shù)字直流電機(jī)調(diào)速設(shè)計(jì))為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!