九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

應(yīng)用電子技術(shù)畢業(yè)設(shè)計(論文)-基于AT89C2051的數(shù)字電子鐘的設(shè)計.doc

  • 資源ID:116653329       資源大?。?span id="24d9guoke414" class="font-tahoma">1,014.50KB        全文頁數(shù):49頁
  • 資源格式: DOC        下載積分:20積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要20積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

應(yīng)用電子技術(shù)畢業(yè)設(shè)計(論文)-基于AT89C2051的數(shù)字電子鐘的設(shè)計.doc

金金 華華 職職 業(yè)業(yè) 技技 術(shù)術(shù) 學(xué)學(xué) 院院 JINHUAJINHUA COLLEGECOLLEGE OFOF VOCATIONVOCATION ANDAND TECHNOLOGYTECHNOLOGY 畢業(yè)教學(xué)環(huán)節(jié)成果畢業(yè)教學(xué)環(huán)節(jié)成果 (2011 屆) 題 目基于 AT89C2051 的數(shù)字電子鐘的設(shè)計 2010 年 5 月 16 日 目目 錄錄 摘要1 英文摘要.1 引言.2 1 任務(wù)分析 .3 2 總的方案及框圖 .3 3 硬件總的設(shè)計 .3 4 分模塊硬件電路設(shè)計 .4 4.1 單片機最小系統(tǒng).4 4.2 顯示電路7 4.3 按鍵電路9 4.4 時鐘芯片電路.10 5 軟件總的設(shè)計 11 6 軟件分模塊設(shè)計 12 6.1 顯示程序流程圖.12 6.2 鬧鐘比較程序流程圖.13 7 調(diào)試結(jié)果記錄 13 8 總結(jié) 14 結(jié)論與謝辭14 參考文獻15 附件 1程序清單 16 附件 2仿真電路圖 46 附件 3PCB 圖 47 理工類 -1- 基于基于 AT89C2051AT89C2051 的數(shù)字電子鐘的設(shè)計的數(shù)字電子鐘的設(shè)計 摘要摘要: : 本文介紹了一款基于 AT89C51 單片機數(shù)字鐘的設(shè)計,通過數(shù)字電子鐘的設(shè)計思 路,詳細(xì)敘述了系統(tǒng)硬件、軟件的具體實現(xiàn)過程。論文重點闡述了數(shù)字鐘硬件中 MCU 模塊、時鐘模塊和相關(guān)控制模塊等的模塊化設(shè)計與制作;軟件同樣采用模塊化的設(shè)計, 包括中斷模塊、鬧鐘模塊、時間調(diào)整模塊設(shè)計,并采用簡單流通性強的 MEDWIN 語言編 寫實現(xiàn)。本設(shè)計實現(xiàn)了時間與鬧鐘的修改功能、年、月、日和星期的顯示功能。并且 通過對比實際的時鐘,查找出了誤差的來源,確定了調(diào)整誤差的方法,盡可能的減少 誤差,使得系統(tǒng)可以達到實際數(shù)字鐘的允許誤差范圍內(nèi)。 關(guān)鍵詞關(guān)鍵詞: : AT89C51 單片機;數(shù)字鐘 Design of digital electronic clock Based on SCM of AT89C2051 (Major of Applied Electronic Technology Information and Engineering College Tao Cun-zhen) Abstract: This paper introduced the design of digital clock based on SCM of AT89S51, the specific process of how the system hardware and software achieved were detailed description through the design of digital clock. The modular design and production, which consisted of MCU module, clock module and the associated control module, were mainly recounted;As well as hardware designing,software design use the same method, consists suspension module,alarm clock module, time adjust module, and that use the MEDWIN language to achieve because of its simple and strong negotiability. In this design the functions of time and alarm clock run and change, functions of the year, month, day and week display have been achieved. And by comparing the actual clock, find out the source of the error and determined the method of adjusting error, reduce errors as much as possibly, so this system can achieve a practical digital clock with error within the permissible range. Key words :AT89S51 microcontroller; Digital clock 引言引言 -2- 數(shù)字電子鐘具有走時準(zhǔn)確,一鐘多用等特點,在生活中已經(jīng)得到廣泛的應(yīng)用。 雖然現(xiàn)在市場上已有現(xiàn)成的電子鐘集成電路芯片出售,價格便宜、使用也方便,但 是人們對電子產(chǎn)品的應(yīng)用要求越來越高,數(shù)字鐘不但可以顯示當(dāng)前的時間,而且可 以顯示日期、農(nóng)歷 、以及星期等,給人們的生活帶來了方便。另外數(shù)字鐘還具備 秒表和鬧鐘的功能,且鬧鐘鈴聲可自選,使一款電子鐘具備了多媒體的色彩。 時間對人們來說總是那么寶貴,工作的忙碌性和繁雜性容易使人忘記當(dāng)前的時 間。忘記了要做的事情,當(dāng)事情不是很重要的時候,這種遺忘無傷大雅。但是,一 旦重要事情,一時的耽誤可能釀成大禍。電子鐘已成為人們?nèi)粘I钪斜夭豢缮俚?必需品,廣泛用于個人家庭以及車站、碼頭、劇院、辦公室等公共場所,給人們的 生活、學(xué)習(xí)、工作、娛樂帶來極大的方便。由于數(shù)字集成電路技術(shù)的發(fā)展和采用了 先進的石英技術(shù),使電子鐘具有走時準(zhǔn)確、性能穩(wěn)定、攜帶方便等優(yōu)點,它還用于 計時、自動報時及自動控制等各個領(lǐng)域。 1 任務(wù)分析任務(wù)分析 -3- 生產(chǎn)中常用的電子鐘有:LCD 電子鐘、LED 電子鐘、電子萬年歷文具禮品、電波氣 象鐘、多功能投影鐘、語音報時鐘、電子鐘收音機、便攜式萬年歷等。 (1)以 24h 計時方式工作; (2)用液晶顯示時間和日期; (3)通過按鍵可以選擇顯示內(nèi)容、修改時間; (4)具有整點報時功能; (5)時間誤差:0.02。 設(shè)置四個按鍵,各按鍵功能是移位、增一、減一、定時 2 總的方案及框圖總的方案及框圖 電子鐘主要有單片機最小系統(tǒng)、按鍵電路、顯示電路、時鐘芯片電路、指示燈蜂 鳴器電路構(gòu)成。 圖 2-1 電子鐘框圖 3 硬件總的設(shè)計硬件總的設(shè)計 電子鐘主要有單片機最小系統(tǒng)、按鍵電路、顯示電路、時鐘芯片電路、指示燈蜂 鳴器電路構(gòu)成。 單單片片機機 電電源源 時時鐘鐘電電路路 復(fù)復(fù)位位電電路路 時時鐘鐘芯芯片片 顯顯示示器器 蜂蜂鳴鳴器器 指指示示燈燈 按按鍵鍵 -4- 圖 3-1 電子鐘原理圖 4 分模塊硬件電路設(shè)分模塊硬件電路設(shè)計計 4.1 單片機最小系統(tǒng)單片機最小系統(tǒng) 單片機最小系統(tǒng)由單片機、電源電路、時鐘電路和復(fù)位電路構(gòu)成。 89C51 介紹 -5- 圖 4-1 89C51 芯片 P0 口特點 P0 口作為通用 I/O 使用,是一個準(zhǔn)雙向口;作為地址/數(shù)據(jù)總線時,是一個真正的 雙向接口。 (1)P0 口可作通用 I/O 口使用,又可作地址/數(shù)據(jù)總線口; (2)P0 既可按字節(jié)尋址,又可按位尋址; (3)作通用 I/O 口輸出時:是開漏輸出,應(yīng)外接上拉電阻; (4)作地址/數(shù)據(jù)總線口時,P0 是一真正雙向口,而作通 用 I/O 口時,只是一個準(zhǔn)雙向口。 P1 口特點 (1)只能作 I / O 口,沒有地址/數(shù)據(jù)復(fù)用功能 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 24 22 21 8031 8051 8751 89C51 -6- (2)可按字節(jié)尋址,也可按位尋址 (3)是一準(zhǔn)雙向口,輸出驅(qū)動接有上拉電阻,不是開漏輸出。 P2 口特點 (1)當(dāng) P2 口作為通用 I / O 時,是一準(zhǔn)雙向口。 (2)從 P2 口輸入數(shù)據(jù)時,先向鎖存器寫“1” 。 (3)可位尋址,也可按字節(jié)尋址。 (4)可輸出地址高 8 位。 P3 口特點 當(dāng) P3 口作為通用 I/O 接口時, 第 2 功能輸出線為高電平, 使與非門 3 的輸出取決 于口鎖存器的狀態(tài)。 在這種情況下, P3 口仍是 1 個準(zhǔn)雙向口, 它的工作方式、 負(fù)載 能力均與 P1、 P2 口相同。 當(dāng) P3 口作為第 2 功能使用時, 其鎖存器 Q 端必須為高電平, 否則 V1 管導(dǎo)通, 引 腳被箝位在低電平, 無法輸入或輸出第 2 功能信號。當(dāng) Q 端為高電平時, P3 口的口線 狀態(tài)就取決于第 2 功能輸出線的狀態(tài)。 并行 I/O 口的使用特性 MCS-51 系列單片機的 4 個并行 I/O 口均由內(nèi)部總線控制,端口的功能復(fù)用會自動 識別,不用指令選擇。 P0 是 8 位、漏極開路的雙向 I/O 口,當(dāng)用作片外存儲器或接口擴展時,分時復(fù)用 為數(shù)據(jù)總線和低 8 位地址總線,可驅(qū)動 8 個 LSTTL 負(fù)載。 P1 是 8 位、準(zhǔn)雙向 I/O 口,具有內(nèi)部上拉電阻,驅(qū)動為 4 個 LSTTL 負(fù)載。 P2 是 8 位、準(zhǔn)雙向 I/O 口,具有內(nèi)部上拉電阻,可驅(qū)動 4 個 LSTTL 負(fù)載,外部擴 展時用作高 8 位地址總線。 P3 是 8 位、準(zhǔn)雙向 I/O 口,具有內(nèi)部上拉電阻,可驅(qū)動 4 個 LSTTL 負(fù)載。P3 口的 所有口線都具有第二功能,實現(xiàn)控制總線的作用。 - 7 - 4.2 顯示電路顯示電路 圖 4-2 顯示電路原理圖 液晶 LCD1602 介紹 1602LCD 主要技術(shù)參數(shù): 顯示容量:162 個字符 芯片工作電壓:4.55.5V 工作電流:2.0mA(5.0V) 模塊最佳工作電壓:5.0V 字符尺寸:2.954.35(WH)mm 引腳功能說明 1602LCD 采用標(biāo)準(zhǔn)的 14 腳(無背光)或 16 腳(帶背光)接口,各引腳接口說明如表 4-1 所示: 表 4-1 引腳接口說明表 編號符號引腳說明編號符號引腳說明 1VSS電源地 9D2 數(shù)據(jù) 2VDD電源正極 10D3 數(shù)據(jù) 3VL液晶顯示偏壓11D4數(shù)據(jù) - 8 - 4RS數(shù)據(jù)/命令選擇12D5數(shù)據(jù) 5R/W讀/寫選擇13D6數(shù)據(jù) 6E使能信號14D7數(shù)據(jù) 7D0數(shù)據(jù)15BLA背光源正極 8D1數(shù)據(jù)16BLK 第 1 腳:VSS 為地電源。 第 2 腳:VDD 接 5V 正電源。 第 3 腳:VL 為液晶顯示器對比度調(diào)整端,接正電源時對比度最弱,接地時對比度最高, 對比度過高時會產(chǎn)生“鬼影”,使用時可以通過一個 10K 的電位器調(diào)整對比度。 第 4 腳:RS 為寄存器選擇,高電平時選擇數(shù)據(jù)寄存器、低電平時選擇指令寄存器。 第 5 腳:R/W 為讀寫信號線,高電平時進行讀操作,低電平時進行寫操作。當(dāng) RS 和 R/W 共同為低電平時可以寫入指令或者顯示地址,當(dāng) RS 為低電平 R/W 為高電平時可 以讀忙信號,當(dāng) RS 為高電平 R/W 為低電平時可以寫入數(shù)據(jù)。 第 6 腳:E 端為使能端,當(dāng) E 端由高電平跳變成低電平時,液晶模塊執(zhí)行命令。 第 714 腳:D0D7 為 8 位雙向數(shù)據(jù)線。 第 15 腳:背光源正極。 第 16 腳:背光源負(fù)極。 1602 液晶模塊內(nèi)部的控制器共有 11 條控制指令,如表 4-2 所示: 表 4-2 控制命令表 序 號 指令 RS R/ W D7D6D5D4D3D2D1D0 1清顯示0000000001 2光標(biāo)返回000000001* 3置輸入模式00000001I/DS 4顯示開/關(guān)控制0000001DCB 5 光標(biāo)或字符移位 000001 S/ C R/ L * 6 置功能 00001DLNF* 7置字符發(fā)生存貯器地址0001字符發(fā)生存貯器地址 8置數(shù)據(jù)存貯器地址001顯示數(shù)據(jù)存貯器地址 9讀忙標(biāo)志或地址01BF計數(shù)器地址 10 寫數(shù)到 CGRAM 或 DDRAM) 10 要寫的數(shù)據(jù)內(nèi)容 11 CGRAM 或 DDRAM 讀數(shù) 11 讀出的數(shù)據(jù)內(nèi)容 1602 液晶模塊的讀寫操作、屏幕和光標(biāo)的操作都是通過指令編程來實現(xiàn)的。 (說明:1 為高電平、0 為低電平) 指令 1:清顯示,指令碼 01H,光標(biāo)復(fù)位到地址 00H 位置。 指令 2:光標(biāo)復(fù)位,光標(biāo)返回到地址00H。 - 9 - 指令 3:光標(biāo)和顯示模式設(shè)置 I/D:光標(biāo)移動方向,高電平右移,低電平左移 S:屏幕 上所有文字是否左移或者右移。高電平表示有效,低電平則無效。 指令 4:顯示開關(guān)控制。 D:控制整體顯示的開與關(guān),高電平表示開顯示,低電平表 示關(guān)顯示 C:控制光標(biāo)的開與關(guān),高電平表示有光標(biāo),低電平表示無光標(biāo) B:控制光 標(biāo)是否閃爍,高電平閃爍,低電平不閃爍。 指令 5:光標(biāo)或顯示移位 S/C:高電平時移動顯示的文字,低電平時移動光標(biāo)。 指令 6:功能設(shè)置命令 DL:高電平時為 4 位總線,低電平時為 8 位總線 N:低電平 時為單行顯示,高電平時雙行顯示 F: 低電平時顯示 5x7 的點陣字符,高電平時顯示 5x10 的點陣字符。 指令 7:字符發(fā)生器 RAM 地址設(shè)置。 指令 8:DDRAM 地址設(shè)置。 指令 9:讀忙信號和光標(biāo)地址 BF:為忙標(biāo)志位,高電平表示忙,此時模塊不能接收命 令或者數(shù)據(jù),如果為低電平表示不忙。 指令 10:寫數(shù)據(jù)。 指令 11:讀數(shù)據(jù)。 4.3 按鍵電路按鍵電路 圖 4-3 按鍵電路原理圖 按鍵按照結(jié)構(gòu)原理可分為兩類,一類是觸點式開關(guān)按鍵,如機械式開關(guān)、導(dǎo)電橡 膠式開關(guān)等;另一類是無觸點式開關(guān)按鍵,如電氣式按鍵,磁感應(yīng)按鍵等。前者造價 - 10 - 低,后者壽命長。目前,微機系統(tǒng)中最常見的是觸點式開關(guān)按鍵。 按鍵按照接口原理 可分為編碼鍵盤與非編碼鍵盤兩類。這兩類鍵盤的主要區(qū)別是識別鍵符及給出相應(yīng)鍵 碼的方法。編碼鍵盤主要是用硬件來實現(xiàn)對鍵的識別,非編碼鍵盤主要是由軟件來實 現(xiàn)鍵盤的定義與識別。 全編碼鍵盤能夠由硬件邏輯自動提供與鍵對應(yīng)的編碼,此外,一般還具有去抖動 和多鍵、竄鍵保護電路。這種鍵盤使用方便,但需要較多的硬件,價格較貴,一般的 單片機應(yīng)用系統(tǒng)較少采用。非編碼鍵盤只簡單地提供行和列的矩陣,其它工作均由軟 件完成。由于其經(jīng)濟實用,較多地應(yīng)用于單片機系統(tǒng)中。 按鍵結(jié)構(gòu)與特點: 微機鍵盤通常使用機械觸點式按鍵開關(guān),其主要功能是把機械 上的通斷轉(zhuǎn)換成為電氣上的邏輯關(guān)系。也就是說,它能提供標(biāo)準(zhǔn)的 TTL 邏輯電平,以 便與通用數(shù)字系統(tǒng)的邏輯電平相容。 4.4 時鐘芯片電路時鐘芯片電路 圖 4-4 時鐘芯片電路原理圖 DS1302 介紹 DS1302 的引腳排列,其中 Vcc1 為后備電源, VCC2 為主電源。在主電源關(guān)閉的 情況下,也能保持時鐘的連續(xù)運行。 DS1302 由 Vcc1 或 Vcc2 兩者中的較大者供電。 當(dāng) Vcc2 大于 Vcc1+0.2V 時,Vcc2 給 DS1302 供電。當(dāng) Vcc2 小于 Vcc1 時,DS1302 - 11 - 由 Vcc1 供電。X1 和 X2 是振蕩源,外 接 32.768kHz 晶振。RST 是復(fù)位/片選線, 通過把 RST 輸入驅(qū)動置高電平來啟動所 有的數(shù)據(jù)傳送。 RST 輸入有兩種功能:首 先,RST 接通控制邏輯,允許地址 /命令序列送入移位寄存器;其次, RST 提供終 止單字節(jié)或多字節(jié)數(shù)據(jù)的傳送手段。當(dāng)RST 為高電平時,所有的數(shù)據(jù)傳送被初始 化,允許對 DS1302 進行操作。如果在傳送過程中 RST 置為低電平,則會終止此次 數(shù)據(jù)傳送,I/O 引腳變?yōu)楦咦钁B(tài)。上電運行時,在Vcc2.0V 之前,RST 必須保持 低電平。只有在 SCLK 為低電平時,才能將 RST 置為高電平。 I/O 為串行數(shù)據(jù)輸入 輸出端(雙向),后面有詳細(xì)說明。 SCLK 為時鐘輸入端。 下圖為 DS1302 的引腳功 能圖: 圖 4-5 DS1302 引腳圖 5 軟件總的設(shè)計軟件總的設(shè)計 圖 5-1 主程序流程圖 開開始始 初初始始化化 校校時時狀狀態(tài)態(tài)? 讀讀DS1302 數(shù)數(shù)據(jù)據(jù)格格式式變變換換 顯顯示示 按按鍵鍵掃掃描描處處理理 整整點點查查詢詢 N Y - 12 - 6 軟件分模塊設(shè)計軟件分模塊設(shè)計 6.1 顯示程序流程圖顯示程序流程圖 圖 6-1 顯示程序流程圖 - 13 - 6.2 鬧鐘比較程序流程圖鬧鐘比較程序流程圖 圖 6-2 鬧鐘比較程序流程圖 7 調(diào)試結(jié)果記錄調(diào)試結(jié)果記錄 用 MEDWIN 語言進行編程,采用 Proteus 軟件進行電路圖的仿真,把程序燒寫進去 進行調(diào)試,出現(xiàn)如下的結(jié)果,可以顯示年月日時分秒以及日期。 圖 7-1 調(diào)試結(jié)果記錄圖 - 14 - 8 總結(jié)總結(jié) 這次仿真實現(xiàn)了電子鐘設(shè)計的任務(wù),并在要求的功能上增加了年月日以及星期的功 能。對所學(xué)的知識進行了鞏固,練習(xí)了匯編軟件、Proteus 軟件和 Protel 繪圖軟件。 結(jié)論與謝辭結(jié)論與謝辭 感謝學(xué)院在我們即將踏入社會時,給我們這次理論聯(lián)系實際的機會,通過這次畢 業(yè)設(shè)計,我們更好地將已經(jīng)學(xué)過的知識進行鞏固,也很感謝余紅娟老師對我的論文的 不厭其煩的細(xì)心指點。 通過這段時間對專業(yè)基礎(chǔ)理論的學(xué)習(xí)與鞏固,通過查閱一些有關(guān)專業(yè)資料的學(xué)習(xí), 使自己對專業(yè)知識有了進一步的理解。雖然在此過程中感覺有點不是太順利,但從畢 業(yè)設(shè)計過程中,我的確學(xué)到了很多東西,在鞏固專業(yè)知識的同時也讓我學(xué)到了堅持和 努力,讓我受益匪淺。畢業(yè)設(shè)計是我們在學(xué)校的最后一個環(huán)節(jié),也是最后一次考驗我 們在校學(xué)習(xí)的理論知道是否扎實,從中提高我們的設(shè)計、掌握技術(shù)知識的能力。為我 們踏上社會奠定扎實的基礎(chǔ)。 時光如梭,大學(xué)三年即將結(jié)束。感謝母校對我大學(xué)三年來的照顧,也很感謝老師 們的精心教導(dǎo)。在以后的日子里我還會利用現(xiàn)在已經(jīng)學(xué)習(xí)的內(nèi)容更深入地學(xué)習(xí),也會 用好現(xiàn)在的所學(xué)在以后的工作中發(fā)揮自己的能力,時刻銘記老師的教導(dǎo),并在實踐中 不斷提高完善自己。 - 15 - 參考文獻參考文獻 1 沈紅衛(wèi),基于單片機的智能系統(tǒng)設(shè)計與實現(xiàn),電子工業(yè)出版社,2005。 2 樓然苗,51 系列單片機設(shè)計實例. 北京航空航天大學(xué)出版社,2003。 3 董傳岱、于云華,數(shù)字電子技術(shù). 石油大學(xué)出版社,2001。 4 何立民,單片機應(yīng)用系統(tǒng)設(shè)計? 北京航空航天大學(xué)出版社,1995。 5 貢春梅,日歷電子鐘設(shè)計 西安航空技術(shù)高等專科學(xué)校學(xué)報 2004。 6 胥筱門,單片機系統(tǒng)的試驗及應(yīng)用? 電子制作 1999(6)20-30。 7 譚浩強,C 程序設(shè)計(第二版). 清華大學(xué)出版社. 1999 年 12 月第 2 版 - 16 - 附件附件 1程序清單程序清單 RS BITP1.1 RWBITP3.2 EN BITP3.3 S1 BITP1.3 S2 BITP1.4 S3 BITP1.5 S4 BITP1.6 LED1BITP3.4 B1 BITP1.0 RSTBITP3.5 SCLKBITP3.6 IO BITP3.7 ORG0000H LJMPMAIN ORG000BH LJMPTIMER0 ORG001BH LJMPTIMER1 ORG0030H MAIN: LCALL INIT LCALL DS1302_INIT1 LOOP: LCALL KEYSCAN LCALL S4CANS CJNER2,#0,LOOP1 SJMPLOOP LOOP1:LCALL ZENGJIAN SJMPLOOP TIMER1: MOVTH1,#3CH MOVTL1,#0B0H INCR5 - 17 - CJNER5,#4,ZZ CLRTR1 MOVR5,#0 LCALL DS1302_READ_TIME LCALL DISPLAY_TIME LCALL ZHENGD MOVA,36H CJNEA,#0,ZZ2 LCALL NAOZHONG ZZ2:MOVA,36H CJNEA,#1,ZZ1 CPLLED1 MOVA,43H CJNEA,#1,ZZ3 MOV43H,#2 MOV30H,#0CEH LCALL WRITECOM MOV30H,#20H LCALL WRITEDATE SJMPZZ1 ZZ3:MOVA,43H CJNEA,#2,ZZ1 MOV43H,#1 MOV30H,#0CEH LCALL WRITECOM MOV30H,#01H LCALL WRITEDATE ZZ1:SETBTR1 ZZ: RETI TIMER0: MOVTH0,#3CH MOVTL0,#0B0H MOVA,36H CJNEA,#0,TI1 - 18 - INC41H MOVA,41H CJNEA,#20,TI0 MOV41H,#0 SETBB1 CLRTR0 SJMPTI0 TI1:INC46H MOVA,46H CJNEA,#20,TI0 MOV46H,#0 INC47H MOVA,47H CJNEA,#60,TI0 MOV47H,#0 INC48H MOVA,48H CJNEA,#5,TI0 MOV48H,#0 CLRTR0 SETBB1 SETBLED1 MOV36H,#0 MOV43H,#0 CLRTR1 MOV30H,#0CEH LCALL WRITECOM MOV30H,#01H LCALL WRITEDATE SETBTR1 TI0:RETI INIT: MOV33H,#22H MOV34H,#17H - 19 - MOV35H,#15H MOV39H,#00H MOV3AH,#00H MOV41H,#0 MOV42H,#0 MOV43H,#0 MOV46H,#0 MOV47H,#0 MOV48H,#0 MOV36H,#0 MOV37H,#0 MOVR1,#35H LCALL LIUTOSHI MOV35H,A MOVR1,#34H LCALL LIUTOSHI MOV34H,A MOVR1,#33H LCALL LIUTOSHI MOV33H,A MOVR2,#0 MOVR5,#0 MOVR6,#0 MOVTMOD,#11H MOVTH1,#3CH MOVTL1,#0B0H MOVTH0,#3CH MOVTL0,#0B0H SETBEA SETBET1 SETBET0 SETBTR1 CLRRST CLRSCLK - 20 - MOV30H,#38H LCALL WRITECOM MOV30H,#0CH LCALL WRITECOM MOV30H,#06H LCALL WRITECOM MOV30H,#01H LCALL WRITECOM MOV30H,#82H LCALL WRITECOM MOV30H,#32H LCALL WRITEDATE MOV30H,#30H LCALL WRITEDATE MOV30H,#86H LCALL WRITECOM MOV30H,#2FH LCALL WRITEDATE MOV30H,#89H LCALL WRITECOM MOV30H,#2FH LCALL WRITEDATE MOV30H,#0C6H LCALL WRITECOM MOV30H,#3AH LCALL WRITEDATE MOV30H,#0C9H LCALL WRITECOM MOV30H,#3AH LCALL WRITEDATE MOVDPTR,#TAB2 MOV38H,#0 MOV30H,#40H - 21 - LCALL WRITECOM MOVA,38H LLL:MOVC A,A+DPTR MOV30H,A LCALL WRITEDATE INC38H MOVA,38H CJNEA,#24,LLL MOV30H,#0CDH LCALL WRITECOM MOV30H,#02H LCALL WRITEDATE RET READBF: MOVP2,#0FFH CLREN CLRRS SETBRW SETBEN NOP MOVA,P2 CLREN JB ACC.7,READBF RET WRITECOM:LCALLREADBF CLRRS CLRRW CLREN MOVP2,30H NOP SETBEN NOP CLREN NOP - 22 - RET WRITEDATE:LCALLREADBF SETBRS CLRRW CLREN MOVP2,30H NOP SETBEN NOP CLREN NOP RET DS1302_WRITE: CLRRST CLRSCLK SETBRST MOVA,50H MOVR7,#8 WRITE_ADD: RRCA MOVIO,C SETBSCLK CLRSCLK DJNZR7,WRITE_ADD MOVA,40H MOVR7,#8 WRITE_DATE: RRCA MOVIO,C SETBSCLK CLRSCLK DJNZR7,WRITE_DATE CLRRST - 23 - RET DS1302_READ: CLRRST CLRSCLK SETBRST MOVA,50H MOVR7,#8 WRITE_ADD1: RRCA MOVIO,C SETBSCLK CLRSCLK DJNZR7,WRITE_ADD1 MOVR7,#8 READ_DATE: NOP MOVC,IO RRCA SETBSCLK CLRSCLK DJNZR7,READ_DATE CLRRST RET DS1302_INIT1: MOV50H,#81H LCALL DS1302_READ RLCA JNCTF MOV50H,#8EH MOV40H,#00H LCALL DS1302_WRITE MOV50H,#7EH MOVR3,#0 - 24 - MOVDPTR,#TAB LL1:MOVA,#2 ADDA,50H MOV50H,A MOVA,R3 MOVC A,A+DPTR MOV40H,A LCALL DS1302_WRITE INCR3 CJNER3,#7,LL1 MOV50H,#8EH MOV40H,#80H LCALL DS1302_WRITE TF: RET DS1302_READ_TIME: MOV50H,#7FH MOVR3,#0 MOVR0,#60H LL2:movA,#2 ADDA,50H MOV50H,A LCALL DS1302_READ MOV45H,A ANLA,#0F0H RR A RR A RR A RR A MOVB,#10 MULAB MOV44H,A MOVA,45H ANLA,#0FH ADDA,44H - 25 - MOVR0,A INCR0 INCR3 CJNER3,#7,LL2 RET DISPLAY_TIME: MOVDPTR,#TAB1 MOVR3,#0 MOVR0,#60H LL3:MOVA,R3 MOVC A,A+DPTR MOV30H,A LCALL WRITECOM MOVA,R0 MOVB,#10 DIVAB ADDA,#30H MOV30H,A LCALL WRITEDATE MOVA,B ADDA,#30H MOV30H,A LCALL WRITEDATE INCR3 INCR0 CJNER3,#5,LL3 MOV30H,#8DH LCALL WRITECOM MOVR0,#65H LCALL WEEKDISPLAYCHANGE MOV30H,#84H LCALL WRITECOM MOVA,66H MOVB,#10 - 26 - DIVAB ADDA,#30H MOV30H,A LCALL WRITEDATE MOVA,B ADDA,#30H MOV30H,A LCALL WRITEDATE RET KEYSCAN: MOVP1,#0FFH JB S1,EXT1 LCALL DELAY MOVP1,#0FFH JB S1,EXT1 AA:MOVP1,#0FFH JNBS1,AA LCALL XIDIAO MOVA,36H JNZW2 CLRTR1 INCR2 SJMPEXT1 W2:SETBB1 SETBLED1 MOV36H,#0 MOV43H,#0 CLRTR1 MOV30H,#0CEH LCALL WRITECOM MOV30H,#01H LCALL WRITEDATE SETBTR1 EXT1: RET - 27 - ZENGJIAN: CJNER2,#1,DD LCALL SECONDPLUS LJMPEXT2 DD:CJNER2,#2,FF LCALL MINPLUS LJMPEXT2 FF: CJNER2,#3,HH LCALL HOURPLUS LJMPEXT2 HH:CJNER2,#4,JJ LCALL WEEKPLUS LJMPEXT2 JJ: CJNER2,#5,LL LCALL DAYPLUS LJMPEXT2 LL: CJNER2,#6,NN LCALL MONTHPLUS LJMPEXT2 NN:CJNER2,#7,PP LCALL YEARPLUS LJMPEXT2 PP: CJNER2,#8,EXT1 SETBTR1 CLRF0 MOV30H,#0CH LCALL WRITECOM MOVR2,#0 EXT2: RET SECONDPLUS: MOV30H,#0CAH LCALL WRITECOM MOV30H,#0FH - 28 - LCALL WRITECOM LCALL S2CANS CJNER6,#1,OO1 MOVR6,#0 JB F0,A1 INC60H MOVR4,60H CJNER4,#60,CC MOV60H,#0 LJMPCC A1: INC35H MOVR4,35H CJNER4,#60,CC1 MOV35H,#0 LJMPCC1 OO1:CJNER6,#2,LS MOVR6,#0 JB F0,A11 DEC60H MOVR4,60H CJNER4,#0FFH,CC MOV60H,#59 LJMPCC A11:DEC35H MOVR4,35H CJNER4,#0FFH,CC1 MOV35H,#59 CC1:MOV30H,#0CAH LCALL WRITECOM MOVA,35H MOVB,#10 DIVAB ADDA,#30H MOV30H,A - 29 - LCALL WRITEDATE MOVA,B ADDA,#30H MOV30H,A LCALL WRITEDATE MOV30H,#0CAH LCALL WRITECOM LJMPLS CC:MOV30H,#0CAH LCALL WRITECOM MOVR0,#60H LCALL DISPLAYCHANGE MOV30H,#0CAH LCALL WRITECOM MOVA,60H LCALL SHITOLIU MOV51H,A MOV50H,#8EH MOV40H,#00H LCALL DS1302_WRITE MOV50H,#80H MOV40H,51H LCALL DS1302_WRITE MOV50H,#8EH MOV40H,#80H LCALL DS1302_WRITE LS: RET MINPLUS: MOV30H,#0C7H LCALL WRITECOM LCALL S2CANS CJNER6,#1,PP1 MOVR6,#0 JB F0,E1 - 30 - INC61H MOVR4,61H CJNER4,#60,EE MOV61H,#0 LJMPEE E1: INC34H MOVR4,34H CJNER4,#60,EE1 MOV34H,#0 LJMPEE1 PP1:CJNER6,#2,LMI MOVR6,#0 JB F0,E11 DEC61H MOVR4,61H CJNER4,#0FFH,EE MOV61H,#59 LJMPEE E11:DEC34H MOVR4,34H CJNER4,#0FFH,EE1 MOV34H,#59 EE1:MOV30H,#0C7H LCALL WRITECOM MOVA,34H MOVB,#10 DIVAB ADDA,#30H MOV30H,A LCALL WRITEDATE MOVA,B ADDA,#30H MOV30H,A LCALL WRITEDATE - 31 - MOV30H,#0C7H LCALL WRITECOM LJMPLMI EE: MOV30H,#0C7H LCALL WRITECOM MOVR0,#61H LCALL DISPLAYCHANGE MOV30H,#0C7H LCALL WRITECOM MOVA,61H LCALL SHITOLIU MOV52H,A MOV50H,#8EH MOV40H,#00H LCALL DS1302_WRITE MOV50H,#82H MOV40H,52H LCALL DS1302_WRITE MOV50H,#8EH MOV40H,#80H LCALL DS1302_WRITE LMI:RET HOURPLUS: MOV30H,#0C4H LCALL WRITECOM LCALL S2CANS CJNER6,#1,QQ MOVR6,#0 JB F0,G1 INC62H MOVR4,62H CJNER4,#24,GG MOV62H,#0 LJMPGG - 32 - G1: INC33H MOVR4,33H CJNER4,#24,GG1 MOV33H,#0 LJMPGG1 QQ:CJNER6,#2,LH MOVR6,#0 JB F0,G11 DEC62H MOVR4,62H CJNER4,#0FFH,GG MOV62H,#23 LJMPGG G11:DEC33H MOVR4,33H CJNER4,#0FFH,GG1 MOV33H,#23 GG1:MOV30H,#0C4H LCALL WRITECOM MOVA,33H MOVB,#10 DIVAB ADDA,#30H MOV30H,A LCALL WRITEDATE MOVA,B ADDA,#30H MOV30H,A LCALL WRITEDATE MOV30H,#0C4H LCALL WRITECOM LJMPLH GG:MOV30H,#0C4H LCALL WRITECOM - 33 - MOVR0,#62H LCALL DISPLAYCHANGE MOV30H,#0C4H LCALL WRITECOM MOVA,62H LCALL SHITOLIU MOV53H,A MOV50H,#8EH MOV40H,#00H LCALL DS1302_WRITE MOV50H,#84H MOV40H,53H LCALL DS1302_WRITE MOV50H,#8EH MOV40H,#80H LCALL DS1302_WRITE LH:RET WEEKPLUS: MOV30H,#8DH LCALL WRITECOM LCALL S2CANS CJNER6,#1,RR1 MOVR6,#0 INC65H MOVR4,65H CJNER4,#8,II MOV65H,#1 LJMPII RR1:CJNER6,#2,LW MOVR6,#0 DEC65H MOVR4,65H CJNER4,#0,II MOV65H,#7 - 34 - II:MOV30H,#8DH LCALL WRITECOM MOVR0,#65H LCALL DISPLAYCHANGE LCALL WEEKDISPLAYCHANGE MOV30H,#8DH LCALL WRITECOM MOVA,65H LCALL SHITOLIU MOV56H,A MOV50H,#8EH MOV40H,#00H LCALL DS1302_WRITE MOV50H,#8AH MOV40H,56H LCALL DS1302_WRITE MOV50H,#8EH MOV40H,#80H LCALL DS1302_WRITE LW:RET DAYPLUS: MOV30H,#8AH LCALL WRITECOM LCALL S2CANS CJNER6,#1,SS MOVR6,#0 INC63H MOVR4,63H CJNER4,#32,KK MOV63H,#1 LJMPKK SS: CJNER6,#2,LD MOVR6,#0 DEC63H - 35 - MOVR4,63H CJNER4,#0,KK MOV63H,#31 KK:MOV30H,#8AH LCALL WRITECOM MOVR0,#63H LCALL DISPLAYCHANGE MOV30H,#8AH LCALL WRITECOM MOVA,63H LCALL SHITOLIU MOV54H,A MOV50H,#8EH MOV40H,#00H LCALL DS1302_WRITE MOV50H,#86H MOV40H,54H LCALL DS1302_WRITE MOV50H,#8EH MOV40H,#80H LCALL DS1302_WRITE LD:RET MONTHPLUS: MOV30H,#87H LCALL WRITECOM LCALL S2CANS CJNER6,#1,TT MOVR6,#0 INC64H MOVR4,64H CJNER4,#13,MM MOV64H,#1 LJMPMM TT: CJNER6,#2,LM - 36 - MOVR6,#0 DEC64H MOVR4,64H CJNER4,#0,MM MOV64H,#12 MM:MOV30H,#87H LCALL WRITECOM MOVR0,#64H LCALL DISPLAYCHANGE MOV30H,#87H LCALL WRITECOM MOVA,64H LCALL SHITOLIU MOV55H,A MOV50H,#8EH MOV40H,#00H LCALL DS1302_WRITE MOV50H,#88H MOV40H,55H LCALL DS1302_WRITE MOV50H,#8EH MOV40H,#80H LCALL DS1302_WRITE LM:RET YEARPLUS: MOV30H,#84H LCALL WRITECOM LCALL S2CANS CJNER6,#1,UU MOVR6,#0 INC66H MOVR4,66H CJNER4,#100,OO MOV66H,#0 - 37 - LJMPOO UU:CJNER6,#2,LY MOVR6,#0 DEC66H MOVR4,66H CJNER4,#0FFH,OO MOV66H,#99 OO:MOV30H,#84H LCALL WRITECOM MOVR0,#66H LCALL DISPLAYCHANGE MOV30H,#84H LCALL WRITECOM MOVA,66H LCALL SHITOLIU MOV57H,A MOV50H,#8EH MOV40H,#00H LCALL DS1302_WRITE MOV50H,#8CH MOV40H,57H LCALL DS1302_WRITE MOV50H,#8EH MOV40H,#80H LCALL DS1302_WRITE LY:RET SHITOLIU: MOVB,#10 DIVAB MOV44H,B RL A RL A RL A RL A - 38 - ORLA,44H RET LIUTOSHI: MOVA,R1 ANLA,#0F0H RR A RR A RR A RR A MOVB,#10 MULAB MOV44H,A MOVA,R1 ANLA,#0FH ADDA,44H RET S2CANS: MOVP1,#0FFH JB S2,WW LCALL DELAY MOVP1,#0FFH JB S2,WW BB:MOVP1,#0FFH JNBS2,BB LCALL XIDIAO CJNER6,#0,EXT3 INCR6 LJMPEXT3 WW:MOVP1,#0FFH JB S3,EXT3 LCALL DELAY MOVP1,#0FFH JB S3,EXT3 - 39 - YY:MOVP1,#0FFH JNBS3,YY LCALL XIDIAO CJNER6,#0,EXT3 INCR6 INCR6 EXT3: RET DISPLAYCHANGE: MOVA,R0 MOVB,#10 DIVAB ADDA,#30H MOV30H,A LCALL WRITEDATE MOVA,B ADDA,#30H MOV30H,A LCALL WRITEDATE RET WEEKDISPLAYCHANGE: MOVDPTR,#TAB3 MOV58H,#0 MOVA,R0 CJNEA,#1,WEEK2 MOVA,58H XX1:MOVC A,A+DPTR MOV30H,A LCALL WRITEDATE INC58H MOVA,58H CJNEA,#3,XX1 LJMPWEEKEND WEEK2:CJNEA,#2,WEEK3 MOV58H,#3 - 40 - MOVA,58H XX2:MOVC A,A+DPTR MOV30H,A LCALL WRITEDATE INC58H MOVA,58H CJNEA,#6,XX2 LJMPWEEKEND WEEK3:CJNEA,#3,WEEK4 MOV58H,#6 MOVA,58H XX3:MOVC A,A+DPTR MOV30H,A LCALL WRITEDATE INC58H MOVA,58H CJNEA,#9,XX3 LJMPWEEKEND WEEK4:CJNEA,#4,WEEK5 MOV58H,#9 MOVA,58H XX4:MOVC A,A+DPTR MOV30H,A LCALL WRITEDATE INC58H MOVA,58H CJNEA,#12,XX4 LJMPWEEKEND WEEK5:CJNEA,#5,WEEK6 MOV58H,#12 MOVA,58H XX5:MOVC A,A+DPTR MOV30H,A LCALL WRITEDATE - 41 - INC58H MOVA,58H CJNEA,#15,XX5 LJMPWEEKEND WEEK6:CJNEA,#6,WEEK7 MOV58H,#15 MOVA,58H XX6:MOVC A,A+DPTR MOV30H,A LCALL WRITEDATE INC58H MOVA,58H CJNEA,#18,XX6 LJMPWEEKEND WEEK7:MOV58H,#18 MOVA,58H XX7:MOVC A,A+DPTR MOV30H,A LCALL WRITEDATE INC58H MOVA,58H CJNEA,#21,XX7 WEEKEND:RET S4CANS: CJNER2,#0,EXT4 MOVP1,#0FFH JB S4,EXT4 LCALL DELAY MOVP1,#0FFH JB S4,EXT4 AAA:MOVP1,#0FFH JNBS4,AAA LCALL XIDIAO MOVA,36H - 42 - JNZW1 MOVA,42H JZ W5 MOVA,37H JNZW4 INC37H SETBF0 CLRTR1 LCALL S4DISPLAY SJMPEXT4 W5:CLRTR1 INC42H MOV30H,#0CD LCALL WRITECOM MOV30H,#00H LCALL WRITEDATE MOV30H,#01H LCALL WRITEDATE SETBTR1 SJMPEXT4 W4:MOV37H,#0 MOV42H,#0 MOV30H,#0CDH LCALL WRITECOM MOV30H,#02H LCALL WRITEDATE MOV30H,#20H LCALL WRITEDATE CLRF0 SETBTR1 SJMPEXT4 W1:SETBLED1 SETBB1 MOV36H,#0 - 43 - MOV43H,#0 CLRTR1 MOV30H,#0CEH LCALL WRITECOM MOV30H,#01H LCALL WRITEDATE SETBTR1 EXT4: RET S4DISPLAY: MOV30H,#0CAH LCALL WRITECOM MOVA,35H MOVB,#10 DIVAB ADDA,#30H MOV30H,A LCALL WRITEDATE MOVA,B ADDA,#30H MOV30H,A LCALL WRITEDATE MOV30H,#0C7H LCALL WRITECOM MOVA,34H MOVB,#10 DIVAB ADDA,#30H MOV30H,A LCALL WRITEDATE MOVA,B ADDA,#30H MOV30H,A LCALL WRITEDATE MOV30H,#0C4H - 44 - LCALL WRITECOM MOVA,33H MOVB,#10 DIVAB ADDA,#30H MOV30H,A LCALL WRITEDATE MOVA,B ADDA,#30H MOV30H,A LCALL WRITEDATE RET NAOZHONG: MOVA,37H JNZQ2 SJMPQ1 Q2: MOVA,62H CJNEA,33H,Q1 MOVA,61H CJNEA,34H,Q1 MOVA,60H CJNEA,35H,Q1 CPLLED1 CLRB1 MOV30H,#0CEH LCALL WRITECOM MOV30H,#20H LCALL WRITEDATE INC36H INC43H INC43H SETBTR0 Q1: RET ZHENGD: - 45 - MOVA,61H CJNEA,39H,Q3 MOVA,60H CJNEA,3AH,Q3 MOVA,36H CJNEA,#0,Q3 CLRB1 SETBTR0 Q3: RET XIDIAO: CLRB1 LCALL DELAY1 SETBB1 LCALL DELAY1 CLRB1 LCALL DELAY1 SETBB1 RET DELAY:MOV32H,#100 LR2:MOV31H,#50 DJNZ31H,$ DJNZ32H,LR2 RET DELAY1:MOV49H,#249 NOP DJNZ49H,$ RET TAB:DB 54H,59H,23H,31H,12H,03,10H TAB1: DB 0CAH,0C7H,0C4H,8AH,87H,8DH,84H TAB2: DB 01H,03H,15H,15H,15H,03H,01H,00H,0FH,00H,0FH,00H,0FH,00H,0FH,00H,11H,0BH, 15H,17H,15H,03H,01H,00H TAB3: DB - 46 - 4DH,6FH,6EH,54H,75H,65H,57H,65H,64H,54H,68H,75H,46H,72H,69H,53H,61H,74H, 53H,75H,6EH END 附件附件 2仿真電路圖仿真電路圖 - 47 - 附件附件 3PCB 圖圖

注意事項

本文(應(yīng)用電子技術(shù)畢業(yè)設(shè)計(論文)-基于AT89C2051的數(shù)字電子鐘的設(shè)計.doc)為本站會員(good****022)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!