九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

畢業(yè)設(shè)計(jì)(論文)-單片機(jī)控制的電機(jī)交流調(diào)速系統(tǒng)設(shè)計(jì).doc

  • 資源ID:116793403       資源大小:386.50KB        全文頁數(shù):38頁
  • 資源格式: DOC        下載積分:20積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要20積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

畢業(yè)設(shè)計(jì)(論文)-單片機(jī)控制的電機(jī)交流調(diào)速系統(tǒng)設(shè)計(jì).doc

畢業(yè)設(shè)計(jì) 用單片機(jī)控制的電機(jī)交流調(diào)速系統(tǒng)畢業(yè)設(shè)計(jì)畢業(yè)設(shè)計(jì)任務(wù)書畢業(yè)設(shè)計(jì)題目:?jiǎn)纹瑱C(jī)控制的電機(jī)交流調(diào)速系統(tǒng)設(shè)計(jì)畢業(yè)設(shè)計(jì)目的:本課題是一個(gè)單片機(jī)應(yīng)用系統(tǒng),包括硬件和軟件兩部分。通過本畢業(yè)設(shè)計(jì),學(xué)生要掌握硬件設(shè)計(jì)和軟件編程,為以后的工作做好準(zhǔn)備。 畢業(yè)設(shè)計(jì)任務(wù):1.基于51單片機(jī)設(shè)計(jì)單片機(jī)系統(tǒng)硬件,包括整流器,濾波器,逆變器,觸發(fā)器等;2.使用PROTEL軟件畫系統(tǒng)硬件電路;3.自行設(shè)計(jì)程序并作注釋。畢業(yè)設(shè)計(jì)主要技術(shù)數(shù)據(jù):1.單片機(jī)使用89c51,交流電機(jī)額定功率4KW,額定轉(zhuǎn)速1200r/min;畢業(yè)設(shè)計(jì)工作量要求:論文正文應(yīng)有各個(gè)電路模塊說明,硬件電路圖,源程序,結(jié)論或改進(jìn),字?jǐn)?shù)不少于5000字(不包含圖所占)畢業(yè)設(shè)計(jì)進(jìn)度計(jì)劃:第1周:下達(dá)畢業(yè)設(shè)計(jì)任務(wù),認(rèn)真分析選題要完成的任務(wù)及技術(shù)指標(biāo),然后向指導(dǎo)教師匯報(bào)自己的理解,指導(dǎo)教師指出學(xué)生的問題,對(duì)于合理建議應(yīng)給與肯定,并修改功能和技術(shù)指標(biāo);第2周:查閱、收集資料,根據(jù)修改后的功能和技術(shù)指標(biāo),選擇確定總體方案,及時(shí)和指導(dǎo)教師交流,征求指導(dǎo)教師意見;第3周:根據(jù)方案設(shè)計(jì)硬件系統(tǒng)。完成硬件電路設(shè)計(jì),畫出硬件電路圖,征求指導(dǎo)教師意見;第4周:完成軟件部分整體框架設(shè)計(jì);第5周:畫出軟件流程圖,完成關(guān)鍵部分軟件設(shè)計(jì);完成全部軟件設(shè)計(jì),征求指導(dǎo)教師建議;第6周:整理資料,撰寫完整規(guī)范的畢業(yè)設(shè)計(jì)報(bào)告(論文)并交指導(dǎo)教師審閱;準(zhǔn)備答辯提綱,進(jìn)行畢業(yè)答辯。畢業(yè)設(shè)計(jì)應(yīng)完成的技術(shù)資料:論文、圖紙、源代碼參考文獻(xiàn):1、 樓然苗,李光飛編著.51系列單片機(jī)設(shè)計(jì)實(shí)例 北京航天航空大學(xué)出版社2、 王曉明.電氣傳動(dòng)的微機(jī)控制 北京航天航空大學(xué)出版社3、 吳金戌,沈慶陽,郭庭吉編著.8051單片機(jī)實(shí)踐與應(yīng)用 清華大學(xué)出版社4、 姚為正編著.電力電子技術(shù)第二版 高等教育出版社5、 楊寧 黃元峰編著.微機(jī)控制技術(shù)第二版 高等教育出版社教研室主任意見:系主管領(lǐng)導(dǎo)意見: 任務(wù)下達(dá)日期2010年9月25日規(guī)定完成日期2010年12月3日摘 要 - 1 -第1章 引 言- 2 -1.1單片機(jī)的產(chǎn)生和發(fā)展- 2 -1.2交流調(diào)速系統(tǒng)的現(xiàn)狀- 2 -第2章 硬 件 設(shè) 計(jì)- 4 -2.1系統(tǒng)總體方案設(shè)計(jì)- 4 -2.2主回路設(shè)計(jì)- 5 -2.2.1整流濾波電路的設(shè)計(jì)- 5 -2.2.2整流電路意義總結(jié)- 5 -2.3整流電路分類- 6 -2.3.1按組成器件可分為不可控電路、半控電路、全控電路三種- 6 -2.3.2按電網(wǎng)交流輸入相數(shù)分為單相電路、三相電路- 7 -2.4濾波電路- 7 -2.4.1 RC平滑濾波電路的結(jié)構(gòu)- 7 -2.4.2 RC平滑濾波電路的工作原理- 7 -2.5三相逆變電路的設(shè)計(jì)- 8 -2.5.1 SPWM 逆變器原理- 9 -第3章 觸發(fā)器的選擇- 11 -3.1.1命令寄存部分- 13 -3.1.2讀取及產(chǎn)生調(diào)制波形部分- 14 -3.1.3三相輸出控制電路- 14 -第4章 單片機(jī)- 15 -4.1 AT89C51單片機(jī)簡(jiǎn)介- 15 -4.2 顯示器8279- 17 -4.3 三相變頻變壓電源電路結(jié)構(gòu)圖.-18-第5章 參數(shù)計(jì)算- 20 -5.1 整流電路設(shè)計(jì)-20-5.2 逆變電路的設(shè)計(jì)- 20-第6章 軟件設(shè)計(jì)- 21 -6.1流程圖- 21 -6.2 程序.-23-結(jié)束語- 32 -參考文獻(xiàn)- 32 -致謝- 32 - 畢業(yè)設(shè)計(jì) 用單片機(jī)控制的電機(jī)交流調(diào)速系統(tǒng)用單片機(jī)控制的電機(jī)交流調(diào)速系統(tǒng)設(shè)計(jì)摘要單片機(jī)控制的交流變頻調(diào)速系統(tǒng)設(shè)計(jì)思想是用開環(huán)恒壓頻比控制。通過改變程序來達(dá)到控制轉(zhuǎn)速的目的,因此本設(shè)計(jì)的調(diào)速分為硬件和軟件兩個(gè)部分。由于設(shè)計(jì)中電機(jī)功率是4KW,所以整流器采用不可控電路,電容器濾波,逆變器采用三相逆變器。系統(tǒng)的總體結(jié)構(gòu)主要由主回路,51系列單片機(jī),SA8282觸發(fā)器及測(cè)速發(fā)電機(jī)等組成。關(guān)鍵詞 AT89C51單片機(jī),觸發(fā)器,整流器,三相異步電動(dòng)機(jī)第1章 引 言1.1單片機(jī)的產(chǎn)生和發(fā)展 我國(guó)開始使用單片機(jī)是在1982年,短短五年時(shí)間里發(fā)展極為迅速。1986年在上海召開了全國(guó)首屆單片機(jī)開發(fā)與應(yīng)用交流會(huì),有的地區(qū)還成立了單片微型計(jì)算機(jī)應(yīng)用協(xié)會(huì),那是全國(guó)形成的第一次高潮,截止今日單片機(jī)應(yīng)用技術(shù)仍飛速發(fā)展。我們首先從它的構(gòu)成說起:?jiǎn)纹瑱C(jī),亦稱單片微電腦或單片微型計(jì)算機(jī)。它是把中央處理器(CPU)、隨機(jī)存取存儲(chǔ)器(RAM)、只讀存儲(chǔ)器(ROM)、輸入輸出端口(I/O)等主要計(jì)算機(jī)功能部件都集成在一塊集成電路芯片上的微型計(jì)算機(jī)。計(jì)算機(jī)的產(chǎn)生加快了人類改造世界的步伐,但是它畢竟體積大。微計(jì)算機(jī)(單片機(jī))在這種情況下誕生了。單片機(jī)滲透到我們生活的各個(gè)領(lǐng)域,幾乎很難找到哪個(gè)領(lǐng)域沒有單片機(jī)的蹤跡。導(dǎo)彈的導(dǎo)航裝置,飛機(jī)上各種儀表的控制,計(jì)算機(jī)的網(wǎng)絡(luò)通訊與數(shù)據(jù)傳輸,工業(yè)自動(dòng)化過程的實(shí)時(shí)控制和數(shù)據(jù)處理,廣泛使用的各種智能IC卡,民用豪華轎車的安全保障系統(tǒng),錄象機(jī)、攝象機(jī)、全自動(dòng)洗衣機(jī)的控制,以及程控玩具、電子寵物等等,這些都離不開單片機(jī)。更不用說自動(dòng)控制領(lǐng)域的機(jī)器人、智能儀表、醫(yī)療器械了。我們只需要在單片機(jī)外圍接一點(diǎn)簡(jiǎn)單的接口電路,核心部分只是由人為的寫入程序來完成。這樣產(chǎn)品的體積變小了,成本也降低了,長(zhǎng)期使用也不會(huì)擔(dān)心精度達(dá)不到了。因此,單片機(jī)的學(xué)習(xí)、開發(fā)與應(yīng)用將造就一批計(jì)算機(jī)應(yīng)用與智能化控制的科學(xué)家、工程師。所以,它的魔力不僅是在現(xiàn)在,在將來將會(huì)有更多的人來接受它、使用它。1.2交流調(diào)速系統(tǒng)的現(xiàn)狀 近20年來隨著電力電子技術(shù),計(jì)算機(jī)技術(shù)、自動(dòng)控制技術(shù)的迅速發(fā)展,交流電機(jī)變頻調(diào)速已得到了越來越廣泛的應(yīng)用,并已開始逐步替代直流調(diào)速,因其許多優(yōu)點(diǎn)而被公認(rèn)為最有發(fā)展前途的調(diào)速方式。同時(shí),變頻調(diào)速的控制技術(shù)也在不斷進(jìn)步和完善。在變頻調(diào)速系統(tǒng)出現(xiàn)的初期,其控制技術(shù)是采用電壓頻率協(xié)調(diào)控制(即V/F比為常數(shù))。此種控制技術(shù)有開環(huán)和閉環(huán)兩種形式。采用開環(huán)時(shí)用于一般生產(chǎn)機(jī)械,但靜態(tài)和動(dòng)態(tài)性能都不太理想,采用閉環(huán)則可改善系統(tǒng)性能。后來,一些研究人員提出了轉(zhuǎn)差頻率控制方法。采用這種控制技術(shù)使得變頻調(diào)速系統(tǒng)在一定的程度上改善了靜態(tài)和動(dòng)態(tài)性能,使之接近于直流雙閉環(huán)調(diào)速系統(tǒng),但是,還是不能滿足高性能調(diào)速系統(tǒng)的要求。 改善調(diào)速系統(tǒng)動(dòng)態(tài)性能的關(guān)鍵在于如何實(shí)現(xiàn)轉(zhuǎn)矩控制。70年代初德國(guó)的F.BLASCHKE提出的矢量控制理論解決了交流電機(jī)矢轉(zhuǎn)矩控制問題。這種理論的核心是將一臺(tái)交流電機(jī)等效為直流電機(jī)來控制,因而獲得了與直流調(diào)速系統(tǒng)同樣優(yōu)良的動(dòng)態(tài)性能。經(jīng)過各國(guó)科技工作者努力,矢量變換控制的變頻調(diào)速方法已廣泛地應(yīng)用于電氣傳動(dòng)系統(tǒng)中。80年代的中期,德國(guó)的DEPENBROCK又提出了直接轉(zhuǎn)矩控制的理論,其思路是把交流電機(jī)與逆變器看作一個(gè)整體對(duì)待。采用空間電壓矢量分析方法進(jìn)行計(jì)算,直接控制轉(zhuǎn)矩,免去了矢量變換的復(fù)雜計(jì)算。控制系統(tǒng)結(jié)構(gòu)簡(jiǎn)單,便于實(shí)現(xiàn)全數(shù)字化,已有實(shí)際產(chǎn)品用于實(shí)際中。 近10多年來,各國(guó)學(xué)者和研究部門致力于無速度傳感器控制系統(tǒng)的研究, 利用檢測(cè)定子電壓、電流等容易測(cè)量的物理量進(jìn)行速度估算,以取代速度傳感器,提高控制系統(tǒng)的可靠性,降低成本,目前已研究出無速度傳感器矢量控制系統(tǒng)的實(shí)用產(chǎn)品。近幾年來,人工智能技術(shù)如專家系統(tǒng)、模糊邏輯和人工神經(jīng)網(wǎng)絡(luò)等,正在顯示出其實(shí)現(xiàn)變頻調(diào)速的智能化自適應(yīng)控制的巨大希望所在,有研究結(jié)果表明,智能控制技術(shù)有效利用,可使變頻調(diào)速系統(tǒng)做到高效、自適應(yīng)、自診斷、自保護(hù)、動(dòng)態(tài)性能優(yōu)良。第2章 硬 件 設(shè) 計(jì)2.1系統(tǒng)總體方案設(shè)計(jì)圖1 系統(tǒng)總體框圖 為了使系統(tǒng)具有較好的動(dòng)靜態(tài)性能,滿足設(shè)計(jì)要求,可將整個(gè)系統(tǒng)設(shè)計(jì)為轉(zhuǎn)速開環(huán)控制系統(tǒng),采用轉(zhuǎn)差頻率調(diào)節(jié)方式,對(duì)轉(zhuǎn)速進(jìn)行動(dòng)態(tài)調(diào)節(jié)。由于電動(dòng)機(jī)功率不大,整流器采用不可控電路,電容器濾波;逆變器采用電力晶體管三相逆變器。系統(tǒng)的總體結(jié)構(gòu)主要由主回路:整流電路,濾波電路,三相逆變電路;AT 89C51單片機(jī), 8279通用鍵盤/顯示器,I/O接口芯片等組成。2.2主回路設(shè)計(jì)2.2.1整流濾波電路的設(shè)計(jì) 圖2 整流濾波電路2.2.2整流電路意義總結(jié)1、電源電路中的整流電路主要有半波整流電路、全波整流電路和橋式整流三種。 2、這三種整流電路輸出的單向脈動(dòng)性直流電特性有所不同,半波整流電路輸出的電壓只有半周,所以這種單向脈動(dòng)性直流電主要成分仍然是50Hz的,因?yàn)檩斎虢涣魇须姷念l率是50Hz,半波整流電路去掉了交流電的半周,沒有改變單向脈動(dòng)性直流電中交流成分的頻率;全波和橋式整流電路相同,用到了輸入交流電壓的正、負(fù)半周,使頻率擴(kuò)大在倍為100Hz,所以這種單向脈動(dòng)性直流電的交流成分主要成分是100Hz的,這是因?yàn)檎麟娐穼⑤斎虢涣麟妷旱囊粋€(gè)半周轉(zhuǎn)換了極性,使輸出的直流脈動(dòng)性電壓的頻率比輸入交流電壓提高了一倍,這一頻率的提高有利于濾波電路的濾波。 3、在半波整流電路中,當(dāng)整流二極管截止時(shí),交流電壓峰值全部加到二極管兩端。對(duì)于全波整流電路而言也是這樣,當(dāng)一只二極管導(dǎo)通時(shí),另一只二極管截止,承受全部交流峰值電壓。所以對(duì)這兩種整流電路,要求電路的整流二極管其承受反向峰值電壓的能力較高;對(duì)于橋式整流電路而言,兩只二極管導(dǎo)通,另兩只二極管截止,它們串聯(lián)起來承受反向峰值電壓,在每只二極管兩端只有反向峰值電壓的一半,所以對(duì)這一電路中整流二極管承受反向峰值電壓的能力要求較低。 4、在全波和橋式整流電路中,都將輸入交流電壓的負(fù)半周轉(zhuǎn)到正半周或?qū)⒄胫苻D(zhuǎn)到負(fù)半周,這一點(diǎn)與半波整流電路不同,在半波整流電路中,將輸入交流電壓一個(gè)半周切除。 5、在整流電路中,輸入交流電壓的幅值遠(yuǎn)大于二極管導(dǎo)通的管壓降,所以可將整流二極管的管壓降忽略不計(jì)。2.3整流電路分類2.3.1按組成器件可分為不可控電路、半控電路、全控電路三種 1)不可控整流電路完全由不可控二極管組成,電路結(jié)構(gòu)一定之后其直流整流電壓和交流電源電壓值的比是固定不變的。 2)半控整流電路由可控元件和二極管混合組成,在這種電路中,負(fù)載電源極性不能改變,但平均值可以調(diào)節(jié)。3)在全控整流電路中,所有的整流元件都是可控的(SCR、GTR、GTO 等),其輸出直流電壓的平均值及極性可以通過控制元件的導(dǎo)通狀況而得到調(diào)節(jié),在這種電路中,功率既可以由電源向負(fù)載傳送,也可以由負(fù)載反饋給電源,即所謂的有源逆變。 2.3.2按電網(wǎng)交流輸入相數(shù)分為單相電路、三相電路1)對(duì)于小功率整流器常采用單相供電。 2)三相整流電路是交流測(cè)由三相電源供電,負(fù)載容量較大,或要求直流電壓脈動(dòng)較小,容易濾波。三相可控整流電路有三相半波可控整流電路,三相半控橋式整流電路,三相全控橋式整流電路。因?yàn)槿嗾餮b置三相是平衡的輸出的直流電壓和電流脈動(dòng)小,對(duì)電網(wǎng)影響小,且控制滯后時(shí)間短,采用三相全控橋式整流電路時(shí),輸出電壓交變分量的最低頻率是電網(wǎng)頻率的6倍,交流分量與直流分量之比也較小,因此濾波器的電感量比同容量的單相或三相半波電路小得多。另外,晶閘管的額定電壓值也較低。因此,這種電路適用于大功率變流裝置。2.4濾波電路經(jīng)過整流電路后的輸出電壓已經(jīng)是單相的直流電壓,但是其中含有直流和交流的成分,電壓的大小仍有變化,這種直流電稱為脈動(dòng)直流電。對(duì)于某些工作(如蓄電池充電),脈動(dòng)電流已經(jīng)可以滿足要求,但是對(duì)于大多數(shù)電子設(shè)備,需要平滑的直流電,故整流電路后面都要接濾波電路,盡量減小交流成分,以減小整流電壓的脈動(dòng)程度,適合穩(wěn)壓電路的需要,這就是濾波。由此組成的電路稱為濾波電路。下面介紹兩類主要的濾波電路。2.4.1 RC平滑濾波電路的結(jié)構(gòu) 在負(fù)載上并聯(lián)一個(gè)電容器,利用電容器充放電時(shí)端電壓不能躍變的特性使直流輸出電壓保持穩(wěn)定。圖二整流濾波電路中,二極管VD起整流作用,與負(fù)載并聯(lián)的電容C起濾波作用,這個(gè)電容器就是一個(gè)最簡(jiǎn)單的濾波器2.4.2 RC平滑濾波電路的工作原理 RC平滑濾波電路是利用電容器的端電壓在電路狀態(tài)改變時(shí)不能躍變的原理實(shí)現(xiàn)濾波的電容和電感都是基本的濾波元件,當(dāng)通過電感線圈的電流發(fā)生變化時(shí),線圈中會(huì)產(chǎn)生自感電動(dòng)勢(shì)阻礙電流變化,因此通過電感的電流不能突變,流過負(fù)載的電流也就不能突變,從而使負(fù)載電流和負(fù)載電壓的脈動(dòng)大為減小,電流平滑,輸出電壓的波形也就平穩(wěn)了,達(dá)到了濾波目的。2.5三相逆變電路的設(shè)計(jì) 圖3 三相逆變電路逆變器是將直流變?yōu)槎l定壓或調(diào)頻調(diào)壓交流電的變換器,傳統(tǒng)方法是利用晶閘管組成的方波逆變電路實(shí)現(xiàn),但由于其含有較大成分低次諧波等缺點(diǎn),近十余年來,由于電力電子技術(shù)的迅速發(fā)展,全控型快速半導(dǎo)體器件BJT,IGBT,GTO 等的發(fā)展和PWM 的控制技術(shù)的日趨完善,使SPWM 逆變器得以迅速發(fā)展并廣泛使用。PWM 控制技術(shù)是利用半導(dǎo)體開關(guān)器件的導(dǎo)通與關(guān)斷把直流電壓變成電壓脈沖列,并通過控制電壓脈沖寬度和周期以達(dá)到變壓目的或者控制電壓脈沖寬度和脈沖列的周期以達(dá)到變壓變頻目的的一種控制技術(shù),SPWM 控制技術(shù)又有許多種,并且還在不斷發(fā)展中,但從控制思想上可分為四類,即等脈寬PWM 法,正弦波PWM 法(SPWM 法),磁鏈追蹤型PWM 法和電流跟蹤型PWM 法,其中利用SPWM 控制技術(shù)做成的SPWM 逆變器具有以下主要特點(diǎn):(1)逆變器同時(shí)實(shí)現(xiàn)調(diào)頻調(diào)壓,系統(tǒng)的動(dòng)態(tài)響應(yīng)不受中間直流環(huán)節(jié)濾波器參數(shù)的影響。(2)可獲得比常規(guī)六拍階梯波更接近正弦波的輸出電壓波形,低次諧波減少,在電氣傳動(dòng)中,可使傳動(dòng)系統(tǒng)轉(zhuǎn)矩脈沖的大大減少,擴(kuò)大調(diào)速范圍,提高系統(tǒng)性能。(3)組成變頻器時(shí),主電路只有一組可控的功率環(huán)節(jié),簡(jiǎn)化了結(jié)構(gòu),由于采用不可控整流器,使電網(wǎng)功率因數(shù)接近于1,且與輸出電壓大小無關(guān)。2.5.1 SPWM 逆變器原理1 SPWM 波形所謂的SPWM 波形就是與正弦波形等效的一系列等幅不等寬的矩形脈沖波形,等效的原則是每一區(qū)間的面積相等。把一個(gè)正弦波分作幾等分然后把每一等分的正弦曲線與橫軸所包圍的面積都用一個(gè)與此面積相等的矩形脈沖來代替,矩形脈沖的幅值不變,各脈沖的中點(diǎn)與正弦波每一等分的中點(diǎn)相重合,這樣由幾個(gè)等幅不等寬的矩形脈沖所組成的波形就與正弦波等效,稱作SPWM 波形。同樣,正弦波的負(fù)半周也用同樣的方法與一系列負(fù)脈沖波等效。2 SPWM 調(diào)制及逆變橋工作原理 以SPWM 三相逆變橋?yàn)槔M(jìn)行說明,SPWM三相逆變器主電路由六個(gè)全控式功率開關(guān)器件構(gòu)成三相逆變橋,它們各有一個(gè)繼流二極管反并聯(lián)結(jié),整個(gè)逆變器由三相不可控整流器提供電壓為Us的直流電壓。調(diào)制波和載波的交點(diǎn)決定了SPWM 脈沖序列的寬度和脈沖間的間隔寬度。當(dāng)A 相的UraUt 時(shí),VT1 導(dǎo)通,輸出正弦脈沖電壓Us/2,當(dāng)UraUt 時(shí),VT1 關(guān)斷Uda=0,在Ura 負(fù)半周,用同樣方法控制VT4,輸出負(fù)的脈沖電壓序列,改變調(diào)制波頻率時(shí),輸出電壓基波頻率隨之改變,降低調(diào)制波幅值時(shí)如Ura,各段脈沖的寬度變窄,輸出電壓基波幅值減少。這種SPWM 每相只有一個(gè)開關(guān)器件反復(fù)通斷,稱單極性SPWM 波形。若有同一橋臂上下兩個(gè)開關(guān)交替地導(dǎo)通與關(guān)斷,則輸出脈沖在“+”和“”之間變化,這樣得到雙極式的SPWM 波形,其調(diào)制方法與單極式相似,只是輸出脈沖電壓的極性不同,當(dāng)UraUt 時(shí),VT1 導(dǎo)通VT4 關(guān)斷,Uao=+Us/2;當(dāng)UrsUt 時(shí)VT1 關(guān)斷,VT4 導(dǎo)通,輸出相電壓Uao=-Us/2,同理VT3 和VT6,VT3 和VT5 交替導(dǎo)通得到UAO,UCO 第3章 觸發(fā)器的選擇圖4 SA8282引腳排列圖PWM控制技術(shù)是通過控制電路按一定規(guī)律來控制開關(guān)管的通斷,以得到一組等幅而不等寬的矩形脈沖波形并使其逼近正弦電壓波形。其方法有模擬方法和數(shù)字方法兩種,其中模擬方法的電路比較復(fù)雜,且有溫漂現(xiàn)象,會(huì)影響精度,降低系統(tǒng)的性能。數(shù)字方法則是按照不同的數(shù)字模型用計(jì)算機(jī)算出各切換點(diǎn)并將其存入內(nèi)存,然后通過查表及必要的計(jì)算生成PWM波,因此數(shù)字方法受內(nèi)存影響較大,且與系統(tǒng)精度之間存在著矛盾。SA8282是英國(guó)MITEL公司生產(chǎn)的全數(shù)字化三相PWM發(fā)生器,它頻率范圍寬、精度高,并可與微處理器進(jìn)行接口,同時(shí)能夠完成外圍控制功能,因而可實(shí)現(xiàn)智能化。SA8282采用28腳DIP封裝。圖4是其引腳排列圖,其各引腳的功能說明如下:AD0AD7:八位地址與數(shù)據(jù)復(fù)用總線,用于從微處理器接受地址與數(shù)據(jù)信息。WR(R/W、RD(DS)、 ALE(AS):此三個(gè)引腳為Intel(MOTOROLA)控制模式;SA8282在工作時(shí)可自動(dòng)適應(yīng)Intel或MOTOROLA控制模式,當(dāng)ALE(AS)管腳變?yōu)楦唠娖綍r(shí),SA8282內(nèi)部檢測(cè)電路將自動(dòng)鎖存RD(DS)線上的狀態(tài),如果檢測(cè)結(jié)果為低電平,則采用MOTOROLA控制模式;如果檢測(cè)結(jié)果為高電平,則采用Intel控制模式。RST:復(fù)位端,低電平有效;CS:片選輸入該控制線可使SA8282與其它外圍接口芯片共享同一組總線。RPHT、RPHB、YPHT、YPHB、BPHT、BPHB:標(biāo)準(zhǔn)TTL電平輸出端口(即PWM驅(qū)動(dòng)信號(hào))可分別驅(qū)動(dòng)三相逆變器的六個(gè)功率開關(guān)器件。TRIP:輸出封鎖狀態(tài)指示用于表明輸出是否被鎖存,低電平有效。SET TRIP:關(guān)斷觸發(fā)信號(hào)輸入端,當(dāng)輸入為高時(shí), TRIP及六個(gè)PWM輸出端將被迅速鎖存在低電平狀態(tài),且只有在, RST復(fù)位時(shí)才能解除。WSS:波形采樣同步端口;ZPB、ZPPY、ZPPR:分別是三相信號(hào)的零相位脈沖輸出端。CLK:時(shí)鐘信號(hào)輸入端。VDD:+5V偏置電源。VSS:接地端。此外,SA8282芯片還具有以下特點(diǎn):圖5 內(nèi)部原理結(jié)構(gòu)框圖 ()全數(shù)字化SA8282與微處理器相連時(shí)可自動(dòng)適應(yīng)Intel和MOTOROLA兩種總線接口而且編程簡(jiǎn)捷方便。其全數(shù)字化的脈沖輸出具有很高的精度和穩(wěn)定性。()工作方式靈活SA8282具有六個(gè)標(biāo)準(zhǔn)的TTL電平輸出端,可以驅(qū)動(dòng)逆變器的六個(gè)功率開關(guān)器件。電路的載波頻率、調(diào)制頻率、調(diào)制比、最小脈寬、死區(qū)時(shí)間等工作參數(shù)均可直接通過軟件設(shè)定,而不需要任何外接電路,從而降低了硬件成本。()工作頻率范圍寬、精度高SA8282的三角載波頻率可調(diào),當(dāng)時(shí)鐘頻率為12.5MHz時(shí),載波頻率最高可達(dá)24KHz,輸出調(diào)制頻率最高可達(dá)4KHz,輸出頻率的分辨率為12位。3.1工作原理SA8282的內(nèi)部原理結(jié)構(gòu)框圖如圖5所示。它主要包括初始化命令和控制命令寄存部分、從ROM中讀取及產(chǎn)生PWM調(diào)制波形部分以及三相輸出控制電路等三個(gè)功能部分。3.1.1命令寄存部分該部分由總線控制、地址數(shù)據(jù)總線、暫存器R0R2、虛擬寄存器R3R4及24位初始化寄存器和24位控制寄存器構(gòu)成。該部分在工作時(shí)應(yīng)首先進(jìn)行初始化(從微處理器向初始化寄存器和控制寄存器輸入控制字進(jìn)行系統(tǒng)參數(shù)設(shè)置),然后由微處理器向兩個(gè)24位寄存器輸入命令字,這兩個(gè)寄存器分別被稱為初始化寄存器和控制寄存器。由于總線的數(shù)據(jù)寬度被限制在8位字長(zhǎng),因此要想把數(shù)據(jù)送到一個(gè)24位寄存器,應(yīng)先分三次分別送到三個(gè)暫存寄存器R0、R1、R2中。而數(shù)據(jù)由暫存寄存器R0、R1、R2送到初始化寄存器或控制寄存器是通過虛擬寄存器R3、R4的送數(shù)寫指令來實(shí)現(xiàn)的,R3、R4實(shí)際上不存在,它們只在指令中出現(xiàn)。往R3送數(shù)的寫指令用于將數(shù)據(jù)從R0、R1、R2傳送到控制寄存器,而往R4送數(shù)的寫指令則可將數(shù)據(jù)從R0、R1、R2傳送到初始化寄存器。3.1.2讀取及產(chǎn)生調(diào)制波形部分該部分由地址發(fā)生器、波形ROM及相位和控制邏輯構(gòu)成。由于調(diào)制波形關(guān)于90、180、270對(duì)稱所以波形ROM中僅保存了090的波形瞬時(shí)值。工作時(shí),SA8282可根據(jù)地址發(fā)生器的信號(hào)直接從波形ROM中讀取波形數(shù)據(jù),然后通過相位控制邏輯將其組成0360的完整波形和三相波形,而不需要處理器進(jìn)行處理。3.1.3三相輸出控制電路SA8282中的每相輸出控制電路均由脈沖取消和脈沖延時(shí)電路構(gòu)成。脈沖取消電路用于去掉脈沖寬度小于取消時(shí)間的脈沖,以保證最小輸出脈沖寬度大于器件的開關(guān)周期。延時(shí)電路可保證死區(qū)間隔,其作用是在改變?nèi)我幌嘀袃蓚€(gè)開關(guān)器件的狀態(tài)時(shí)提供一個(gè)較短的延遲時(shí)間,以使這段時(shí)間里的兩個(gè)開關(guān)都處于關(guān)狀態(tài),從而防止在轉(zhuǎn)換瞬間橋臂開關(guān)元件出現(xiàn)共通(兩個(gè)開關(guān)在狀態(tài)轉(zhuǎn)換期間造成直通短路)現(xiàn)象。第4章 單片機(jī)4.1 AT89C51單片機(jī)簡(jiǎn)介AT89C51是一種與8051兼容的帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器的低電壓,高性能CMOS8位微處理器,俗稱單片機(jī)。AT89C2051是一種帶2K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器的單片機(jī)。單片機(jī)的可擦除只讀存儲(chǔ)器可以反復(fù)擦除100次。AT89C51單片機(jī)為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。主要特性:與MCS-51 兼容、4K字節(jié)可編程閃爍存儲(chǔ)器、壽命:1000寫/擦循環(huán)、數(shù)據(jù)保留時(shí)間為10年、全靜態(tài)工作于0Hz到24Hz、三級(jí)程序存儲(chǔ)器鎖定、128*8位內(nèi)部RAM、32位可編程I/O線、兩個(gè)16位定時(shí)器/計(jì)數(shù)器、5個(gè)中斷源、可編程串行通道、低功耗的閑置和掉電模式、片內(nèi)振蕩器和時(shí)鐘電路。圖6 AT89C51單片機(jī)引腳圖管腳說明:VCC:供電電壓。GND:接地。P0口:P0口為一個(gè)8位漏級(jí)開路雙向I/O口,每腳可吸收8TTL門電流。當(dāng)P1口的管腳第一次寫“1”時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4個(gè)TTL門電流。P1口管腳寫入“1”后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。 P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門電流,當(dāng)P2口被寫“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。P3口也可作為AT89C51的一些特殊功能口,如表1所示:表1 P3口各引腳功能表接口管腳備選功能P3.0RXD串行輸入口P3.1TXD串行輸出口P3.2/INT0外部中斷0P3.3/INT1外部中斷1P3.4T0記時(shí)器0外部輸入P3.5T1記時(shí)器1外部輸入P3.6/WR外部數(shù)據(jù)存儲(chǔ)器寫選通P3.7/RD外部數(shù)據(jù)存儲(chǔ)器讀選通RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。ALE/PROG:當(dāng)訪問外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置“0”。此時(shí), ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。/PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)。/EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。XTAL2:來自反向振蕩器的輸出。振蕩器特性:XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號(hào)要通過一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信號(hào)的脈寬無任何要求,但必須保證脈沖的高低電平要求的寬度。4.2 顯示器8279圖7 8279引腳圖 8279是一種通用可編程鍵盤,顯示器接口芯片。如圖7所示,它能完成鍵盤輸入和顯示控制兩種功能,鍵盤部分提供一種掃描方式,對(duì)鍵盤不斷掃描,自動(dòng)消抖,自動(dòng)識(shí)別出按下的鍵并給出編碼,能對(duì)雙鍵或N鍵同時(shí)按下進(jìn)行保護(hù)。8279的組成:1)I/O控制及數(shù)據(jù)緩沖器2)控制和時(shí)序寄存器及定時(shí)控制3)掃描計(jì)數(shù)器4)回復(fù)緩沖器,鍵盤抖動(dòng)及控制5)FIFO/傳感器RAM及其狀態(tài)寄存器6)顯示RAM和顯示地址寄存器4.3三相變頻變壓電源電路結(jié)構(gòu)圖圖8 三相變頻變壓電源電路結(jié)構(gòu)圖利用單片機(jī)和集成芯片配合產(chǎn)生SPWM波形控制逆變開關(guān)的通斷,控制算法更加容易實(shí)現(xiàn)編程,使得系統(tǒng)結(jié)構(gòu)簡(jiǎn)單,控制精度高,可靠性強(qiáng),同時(shí)參數(shù)修改容易、編程任務(wù)少,單片機(jī)的處理任務(wù)大為減輕。并且,由于可通過SA8282對(duì)三相輸出電壓分別進(jìn)行調(diào)整,可在存在三相不平衡負(fù)載的場(chǎng)合中得到應(yīng)用。第5章 參數(shù)計(jì)算5.1 整流電路設(shè)計(jì)采用三相橋式不可控整流電路將交流電整流為直流電,電路如圖2左半部分由6個(gè)二極管組成。通過二極管的峰值電流為:Im =21.414In =21.4140.5=1.42A 流過二極管電流的有效值: 二級(jí)管電流定額:In =(1.5or2)Id/1.57=0.78or1.04A 考慮濾波電容充電電流的影響,需要留有較大的電流余量,選用IN=2A,整流二極管電壓定額:Ud =(2or3)Um =(2or3)1.414220=622or933V 根據(jù)上面計(jì)算的電壓和電流以及市場(chǎng)價(jià)格和供貨情況,實(shí)際選用的整流二極管為5A、1000V。 5.2 逆變電路的設(shè)計(jì)如圖3逆變電路的功率器件選用6個(gè)IGBT和6個(gè)快速續(xù)流二極管。IGBT正反向峰值電壓為: Um=1.41420=311V 考慮到23倍安全系數(shù),取耐壓值為1000V。通態(tài)峰值電流: Im=21.414In=21.4140.5=1.41A 考慮1.52倍安全系數(shù),取電流定額為5A。續(xù)流二級(jí)管的耐壓和續(xù)流計(jì)算與上相同,考慮到市場(chǎng)價(jià)格供貨和價(jià)格的情況實(shí)際選 用IGBT為GT25Q101,續(xù)流二極管為MUR860。第6章 軟件設(shè)計(jì)6.1流程圖圖9 顯示程序流程圖 圖10 轉(zhuǎn)速快慢流程圖6.2 程序89c51的初始化程序如下:INIT:MOV DPTR,#7FFFH ;置89c51命令/狀態(tài)口地址 MOV A,#0D1H ;置清顯示命令字 MOVX DPTR,A ;送清顯示命令 WEIT:MOVX A,DPTR ;讀狀態(tài) JB ACC.7,WEIT ;等待清顯示RAM結(jié)束 MOV A,#34H ;置分頻系數(shù),晶振12MHz MOVX DPTR,A ;送分頻系數(shù) MOV A,#00H ;置鍵盤/顯示命令 MOVX DPTR,A ;送鍵盤/顯示命令 MOV IE,#84H ;允許89c51中斷 RET顯示子程序如下:DIS:MOV DPTR,#7FFFH ;置89c51命令/狀態(tài)口地址 MOV R0,#30H ;字段碼首地址 MOV R7,#08H ;8位顯示 MOV A,#90H ;置顯示命令字 MOVX DPTR,A ;送顯示命令 MOV DPTR,#7FFEH ;置數(shù)據(jù)口地址LP:MOV A,R0 ;取顯示數(shù)據(jù) ADD A,#6 ;加偏移量 MOVC A,APC ;查表,取得數(shù)據(jù)的段碼 MOVX DPTR,A ;送段碼顯示 INC R0 ;調(diào)整數(shù)據(jù)指針 DJNZ R7,LP ; RET SEG:DB 3FH,06H,5BH,4FH,66H,6DH ;字符0、1、2、3、4、5段碼 DB 7DH,07H,7EH,6FH,77H,7CH ;字符6、7、8、9、A、B段碼 DB 39H,5EH,79H,71H,73H,3EH ;字符C、d、E、F、P、U段碼 DB 76H,38H,40H,6EH,F(xiàn)FH,00H ;字符H、L、-、Y、“空”段碼鍵盤中斷子程序如下:KEY: PUSH PSW PUSH DPL PUSH DPH PUSH ACC PUSH B SETB PSW.3 MOV DPTR,#7FFFH ;置狀態(tài)口地址 MOVX A,DPTR ;讀FIFO狀態(tài) ANL A,#0FH ; JZ PKYR ; MOV A,#40H ;置讀FIFO命令 MOVX DPTR,A ;送讀FIFO命令 MOV DPTR,#7FFEH ;置數(shù)據(jù)口地址 MOVX A,DPTR ;讀數(shù)據(jù) LJMP KEY1 ;轉(zhuǎn)鍵值處理程序PKYR: POP B POP ACC POP DPH POP DPL POP PSW RETI ; KEY1: ;鍵值處理程序鍵盤程序清單:KEY1: ACALLKS1 ; 有無鍵按下子程序 JNZLK1 ;有鍵按下,轉(zhuǎn)去抖延時(shí) AJMPKEY1 ;無鍵按下,繼續(xù)掃描LK1: ACALLDELA12;12ms延時(shí)程序調(diào)用 ACALLKS1 ;判斷鍵是否真正按下 JNZLK2 ;有鍵按下,轉(zhuǎn)逐列掃描 AJMPKEY1 ;無鍵按下,繼續(xù)掃描LK2: MOVR2,#0FEH;設(shè)置首列掃描字 MOV R4,#00H ;保存首列號(hào) LK4:MOVDPTR,#7F01H;列掃描字送至PA口 MOVA,R2 MOVXDPTR,A INCDPTR ;指向PC口 INCDPTR MOVXA,DPTR ;讀入行狀態(tài) JBACC.0,LONE ;第0行無鍵按下,轉(zhuǎn)LONE MOVA,#00H ;有鍵按下,設(shè)置行首鍵號(hào) AJMPLKP ;轉(zhuǎn)求鍵號(hào) LONE: JBACC.1,LTWO ;第1行無鍵按下,轉(zhuǎn)LTWO MOVA,#08H ;有鍵按下,設(shè)置行首鍵號(hào) AJMPLKP ;轉(zhuǎn)求鍵號(hào) LTWO:JBACC.2,LTHR ;第2行無鍵按下,轉(zhuǎn)LTHR MOVA,#10H ;有鍵按下,設(shè)置行首鍵? AJMPLKP ;轉(zhuǎn)求鍵號(hào) LTHR:JBACC.3,NEXT ;第3行無鍵按下,查下一列 MOVA,#18H ;有鍵按下,設(shè)置行首鍵LKP:ADDA,R4 ;求鍵號(hào),鍵號(hào)=行首鍵號(hào)+列號(hào) PUSHACC ;保護(hù)鍵號(hào)LK3:ACALLKS1 ;等待鍵釋放 JNZLK3 ;鍵未釋放,繼續(xù)等待 POPACC ;鍵釋放,鍵號(hào)送A AJMPOVER ;鍵掃描結(jié)束NEXT: INCR4 ;列號(hào)加1,指向下一列 MOVA,R2 ;判斷8列掃描完否 JNBACC.7,KND ;8列掃描完,繼續(xù) RLA ;掃描字左移一位 MOVR2,A ;送掃描字 AJMPLK4 ;轉(zhuǎn)下一列掃描 KND:AJMPKEY1 OVER:RET ;鍵掃描結(jié)束KS1:MOVDPTR,#7F01H;指向PA口 MOVA,#00H ;設(shè)置掃描字 MOVXDPTR,A ;掃描字送PA口 INCDPTR ;指向PC口 INCDPTR MOVXA,DPTR ;讀入PC口狀態(tài) CPL ;以高電平表示有鍵按下 ANLA,#0FH ;屏蔽高4位 RET 初始化程序如下:INIT: MOV DPTR,#7FFFH ;置89c51命令/狀態(tài)口地址 MOV A,#0D1H ;置清顯示命令字 MOVX DPTR,A ;送清顯示命令 WEIT: MOVX A,DPTR ;讀狀態(tài) JB ACC.7,WEIT ;等待清顯示RAM結(jié)束 MOV A,#34H ;置分頻系數(shù),晶振12MHz MOVX DPTR,A ;送分頻系數(shù) MOV A,#00H ;置鍵盤/顯示命令 MOVX DPTR,A ;送鍵盤/顯示命令 MOV IE,#84H ;允許89c51中斷 RET顯示子程序如下: DIS:MOV DPTR,#7FFFH ;置89c51命令/狀態(tài)口地址 MOV R0,#30H ;字段碼首地址 MOV R7,#08H ;8位顯示 MOV A,#90H ;置顯示命令字 MOVX DPTR,A ;送顯示命令 MOV DPTR,#7FFEH ;置數(shù)據(jù)口地址 LP: MOV A,R0 ;取顯示數(shù)據(jù) ADD A,#6 ;加偏移量 MOVC A,APC ;查表,取得數(shù)據(jù)的段碼 MOVX DPTR,A ;送段碼顯示 INC R0 ;調(diào)整數(shù)據(jù)指針 DJNZ R7,LP ; RET 鍵盤中斷子程序如下:KEY: PUSH PSW PUSH DPL PUSH DPH PUSH ACC PUSH B SETB PSW.3 MOV DPTR,#7FFFH ;置狀態(tài)口地址 MOVX A,DPTR ;讀FIFO狀態(tài) ANL A,#0FH ; JZ PKYR ; MOV A,#40H ;置讀FIFO命令 MOVX DPTR,A ;送讀FIFO命令 MOV DPTR,#7FFEH ;置數(shù)據(jù)口地址 MOVX A,DPTR ;讀數(shù)據(jù) LJMP KEY1 ;轉(zhuǎn)鍵值處理程序PKYR: POP B POP ACC POP DPH POP DPL POP PSW RETI ;驅(qū)動(dòng)電路子程序的設(shè)計(jì)PUSH: MOVR3 , # NUM PUSH A PUSH PSW LOOP: SETB P1.0 ACALL DELAY1 CLR P1.0 ACALL DELAY2 DJNZ R3,LOOP POP PSW POP A RET延時(shí)子程序的設(shè)計(jì)MOVR2,#18H LCALL7FEBH RET ORG7FEBH;通用延時(shí)子程序(07EBH&0FEBH&.)L7FEB:PUSH02H;R2(復(fù)位后R2即為02H)存放時(shí)間常數(shù),進(jìn)棧保護(hù)L7FED:PUSH02H;R2進(jìn)棧保護(hù)L7FEF:PUSH02H;進(jìn)棧L7FF1:DJNZR2,$;R2不為零等待POP02H;出棧 DJNZR2,L7FEF;R2不為零轉(zhuǎn)POP02H;出棧DJNZR2,L7FED;R2不為零轉(zhuǎn)POP02H;出棧DJNZR2,L7FEB;R2不為零轉(zhuǎn)RET;子程序返回END主程序如下:CON: MOVR3, # NMOV TMOD , # 10HMOV TL1 , # LOWMOV TH1 , # H IGHJNB FLAG ,LEFTMOV R0 , RMAJMP TIME - SLEFT: MOV R0 , LMTIME: SETB EASETB ET1SETB TR1利用軟件形成脈沖序列的程序清單: PULSE_S:MOVR7,#NUM;設(shè)定脈沖個(gè)數(shù) PUSHA;保護(hù)現(xiàn)場(chǎng) PUSHPSWLOOP:SETBP1.0;輸出高電平 ACALLDELAY1;延時(shí) CLRP1.0;輸出低電平 ACALLDELAY2;延時(shí) DJNZR7,LOOP;R70,繼續(xù)輸出脈沖 POPPSW;恢復(fù)現(xiàn)場(chǎng) POPA RET定時(shí)中斷子程序TIME0:CLREA;關(guān)中斷INC30H MOVA,30H XRLA,#50H;判斷是否到8秒JZS_8;8秒定時(shí)到,轉(zhuǎn)至S_8AJMPRECOUN;未到8秒,繼續(xù)計(jì)時(shí)S_8:SETBP3.2;觸發(fā)外部中斷0NOP CLRP3.2 NOPRECOUN:MOVTH0,#3CH MOVTL0,#0B0H;設(shè)定定時(shí)器初值 SETBEA;開中斷 RETI;中斷返回中斷子程序T_CON:PUSHA;保護(hù)現(xiàn)場(chǎng) PUSHPSW MOVR7,#N;設(shè)定控制步數(shù)JNBFLAG,LEFT;判斷旋轉(zhuǎn)方向 RIGHT:MOVR0,RM;正轉(zhuǎn)模型起始地址 AJMPROTATE LEFT:MOVR0,LM ROTATE: MOVA,R0;取第一拍控制模型 MOVP1,A;輸出第一拍控制模型 ACALLDELAY1;延時(shí) INCR0 MOVA,R0;取第二拍控制模型 MOVP1,A;輸出第二拍控制模型 ACALLDELAY1;延時(shí) INCR0 MOVA,R0;取第三拍控制模型 MOVP1,A;輸出第三拍控制模型 ACALLDELAY1;延時(shí) DJNZR7,ROTATE;未走完要求的步數(shù),繼續(xù)POPPSW;恢復(fù)現(xiàn)場(chǎng) POPA RET;返回 結(jié)束語畢業(yè)設(shè)計(jì)是學(xué)生綜合學(xué)習(xí)的一個(gè)難得的機(jī)會(huì),同時(shí)它也是檢驗(yàn)這幾年學(xué)習(xí)水平的一個(gè)機(jī)會(huì)。在設(shè)計(jì)中涉及到的知識(shí)面很廣,它需要我們查閱大量的資料,從中吸取對(duì)設(shè)計(jì)有幫助的東西來達(dá)到一個(gè)優(yōu)化的目的。在設(shè)計(jì)過程中肯定有我們以前沒有學(xué)習(xí)過的新知識(shí)需要我們自學(xué),這就需要耐心,需要刻苦的鉆研和推敲,特別是對(duì)于各部分的銜接是經(jīng)過論證后得出的。通過畢業(yè)設(shè)計(jì),真正的學(xué)習(xí)到了不少東西,特別是對(duì)于自己動(dòng)腦思考問題,動(dòng)手解決問題的能力無疑是上了一個(gè)臺(tái)階,讓自己知道了從事技術(shù)方面的學(xué)生扎實(shí)的基本功是必不可少的,對(duì)于創(chuàng)新能力的培養(yǎng)和加強(qiáng)得引起高度的重視,光課堂的學(xué)習(xí)是不夠的,通過自學(xué)是提高的一個(gè)途徑,能夠?qū)⑺鶎W(xué)到的知識(shí)和自學(xué)的新知識(shí)柔和在一起已證明了我們具有一定的能力。當(dāng)然了,方案肯定能再次得到優(yōu)化,這是以后在工作中需要考慮的問題。參考文獻(xiàn)1,電子技術(shù) 主編:陳欽禮 機(jī)械工業(yè)出版社2,機(jī)電一體化 主編:邱七安 西安電子科技大學(xué)出版社3,電力電子技術(shù) 主編:姚為正 高等教育出版社致謝本人在研究學(xué)習(xí)和課題設(shè)計(jì)期間,經(jīng)景老師的悉心指導(dǎo)和耐心啟發(fā),學(xué)習(xí)到了設(shè)計(jì)課題的基本方法,具備了將一定的理論知識(shí)用于實(shí)踐的能力,提高了自學(xué)水平,基本上達(dá)到的學(xué)以致用。景老師嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度,淵博的知識(shí),和諧的為人及忘我的工作精神讓我欽佩不已,這不但使的我的畢業(yè)設(shè)計(jì)能夠順利的完成,還在為人做事方面給我做了一個(gè)好的榜樣。我真誠(chéng)的感謝他景老師。感謝寶雞職業(yè)技術(shù)花學(xué)院的培養(yǎng)。感謝評(píng)閱和閱讀本論文的老師付出的辛勤勞動(dòng)!- 33 -

注意事項(xiàng)

本文(畢業(yè)設(shè)計(jì)(論文)-單片機(jī)控制的電機(jī)交流調(diào)速系統(tǒng)設(shè)計(jì).doc)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!