九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

基于單片機(jī)的電子溫度計(jì)設(shè)計(jì)畢業(yè)論文.doc

  • 資源ID:116812101       資源大?。?span id="24d9guoke414" class="font-tahoma">1.78MB        全文頁(yè)數(shù):30頁(yè)
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

基于單片機(jī)的電子溫度計(jì)設(shè)計(jì)畢業(yè)論文.doc

畢業(yè)論文題 目: 基于單片機(jī)的電子溫度計(jì)設(shè)計(jì) 所屬系、部 : 電 氣 系 年級(jí)、 專業(yè): 應(yīng)用電子技術(shù)121 姓 名: 羅 艷 學(xué) 號(hào): 20120111211039 指 導(dǎo) 教 師: 張 潔 目 錄緒 論51 硬件系統(tǒng)總體方案設(shè)計(jì)62單元電路設(shè)計(jì)62.1 傳感器電路62.1.1 DS18B20的簡(jiǎn)單介紹62.1.2 DS18B20的性能特點(diǎn)72.1.3DS18B20引腳定義72.1.4 DS18B20功能實(shí)現(xiàn)原理82.2 單片機(jī)最小系統(tǒng)92.2.1 STC89C52單片機(jī)92.2.2最小系統(tǒng)122.3顯示電路142.3.1數(shù)碼塊顯示142.3.2 數(shù)碼管的分類153系統(tǒng)軟件設(shè)計(jì)153.1.主程序153.2溫度檢測(cè)模塊DS18B20163.3溫度顯示模塊164硬件仿真174.1Proteus介紹174.1.1關(guān)于proteus174.1.2功能174.1.3硬件仿真電路圖175安裝調(diào)試185.1硬件制作185.1.1 硬件制作過(guò)程185.2系統(tǒng)調(diào)試18總結(jié)19致謝20參考文獻(xiàn)21附錄122附錄226摘 要隨著社會(huì)的發(fā)展,科技的進(jìn)步,以及傳感器在各個(gè)領(lǐng)域的應(yīng)用,智能化已成為社會(huì)發(fā)展勢(shì)不可擋的趨勢(shì)。單片機(jī)作為微電控制的基礎(chǔ),對(duì)于國(guó)家未來(lái)的發(fā)展有著深遠(yuǎn)意義。單片機(jī)的應(yīng)用很廣泛,使用也比較靈活,尤其是在對(duì)成本要求較為苛刻的地方,可以說(shuō)在中國(guó)的社會(huì)主義初級(jí)階段,單片機(jī)是能夠占有一席之地的。單片機(jī)的學(xué)習(xí)和應(yīng)用對(duì)于我們當(dāng)代青年人就顯得尤為重要。如今數(shù)字化電子鐘技術(shù)已經(jīng)很廣泛的應(yīng)用在人們生活的各個(gè)領(lǐng)域,這要?dú)w功與單片機(jī)技術(shù)的迅速發(fā)展及廣泛普及。本論文主要概述由單片機(jī)89C52、液晶顯示器、溫度傳感器DS18B20等組成的溫度測(cè)量電路。本設(shè)計(jì)是基于AT89C52單片機(jī)數(shù)字鐘和數(shù)字溫度計(jì)設(shè)計(jì),采用模塊化的設(shè)計(jì)思想。軟硬件都分為溫度的感應(yīng)模塊、時(shí)鐘模塊、控制模塊、顯示模塊。并使用Proteus繪制電路原理圖,PCB電路板;采用KeiluVision源代碼編輯、調(diào)試程序。綜上所述此數(shù)字電子鐘溫度計(jì)具有諸多優(yōu)點(diǎn),符合電子儀器儀表的發(fā)展趨勢(shì),具有廣泛的市場(chǎng)前景。AbstractWith the development of the society, the progress of science and technology, and sensor applications in various fields, intelligent has become an irresistible trend of development of the society. Single chip microcomputer as the basis for the control of micro electric has profound significance to the development of the countrys future. MCU application is very extensive, use is more flexible, especially in the harsh place to cost requirement, can say the primary stage of socialism in China, single chip microcomputer is able to gain a foothold. Study and application of the single chip microcomputer of contemporary young people is especially important for us.Now digital electronic clock technology is widely used in various fields of peoples life, this is attributed to the rapid development of the single-chip computer technology and wide popularity. Summary of this thesis mainly consists of single chip microcomputer 89 c52, liquid crystal display, temperature sensor DS18B20 temperature measurement circuit, etc.This design is based on AT89C52 single chip digital clock and digital thermometer is designed, using modular design thought. Hardware and software are divided into temperature sensing module, clock module, control module, display module. And use Proteus draw the circuit principle diagram, PCB circuit boards; Using Keil uVision source code editing, debugging process.To sum up the digital electronic clock thermometer has read convenient, in line with the development trend of electronic instruments and meters, has a broad market prospect.緒 論溫度是一個(gè)非常重要的物理量,因?yàn)樗苯佑绊懭紵?、化學(xué)反應(yīng)、發(fā)酵、烘烤、煅燒、蒸餾、濃度、擠壓成形、結(jié)晶以及空氣流動(dòng)等物理和化學(xué)過(guò)程。溫度控制失誤就可能引起生產(chǎn)安全、產(chǎn)品質(zhì)量、產(chǎn)品產(chǎn)量等一系列問(wèn)題。因此對(duì)溫度的檢測(cè)的意義就越來(lái)越大。溫度采集控制系統(tǒng)在工業(yè)生產(chǎn)、科學(xué)研究和人們的生活領(lǐng)域中,得到了廣泛應(yīng)用。在工業(yè)生產(chǎn)過(guò)程中,很多時(shí)候都需要對(duì)溫度進(jìn)行嚴(yán)格的監(jiān)控,以使得生產(chǎn)能夠順利的進(jìn)行,產(chǎn)品的質(zhì)量才能夠得到充分的保證。使用自動(dòng)溫度控制系統(tǒng)可以對(duì)生產(chǎn)環(huán)境的溫度進(jìn)行自動(dòng)控制,保證生產(chǎn)的自動(dòng)化、智能化能夠順利、安全進(jìn)行,從而提高企業(yè)的生產(chǎn)效率。 溫度采集控制系統(tǒng)是在嵌入式系統(tǒng)設(shè)計(jì)的基礎(chǔ)上發(fā)展起來(lái)的。嵌入式系統(tǒng)雖然起源于微型計(jì)算機(jī)時(shí)代,但是微型計(jì)算機(jī)的體積、價(jià)位、可靠性,都無(wú)法滿足廣大對(duì)象對(duì)嵌入式系統(tǒng)的要求,因此,嵌入式系統(tǒng)必須走獨(dú)立發(fā)展道路。這條道路就是芯片化道路。將計(jì)算機(jī)做在一個(gè)芯片上,從而開創(chuàng)了嵌入式系統(tǒng)獨(dú)立發(fā)展的單片機(jī)時(shí)代。單片機(jī)誕生于二十世紀(jì)七十年代末,經(jīng)歷了SCM、MCU和SOC三大階段。在現(xiàn)代化的工業(yè)生產(chǎn)中,電流、電壓、溫度、壓力、流量、流速和開關(guān)量都是常用的主要被控參數(shù)。例如:在冶金工業(yè)、化工生產(chǎn)、電力工程、造紙行業(yè)、機(jī)械制造和食品加工等諸多領(lǐng)域中,人們都需要對(duì)各類加熱爐、熱處理爐、反應(yīng)爐和鍋爐中的溫度進(jìn)行檢測(cè)和控制。采用MCS-51單片機(jī)來(lái)對(duì)溫度進(jìn)行控制,不僅具有控制方便、組態(tài)簡(jiǎn)單和靈活性大等優(yōu)點(diǎn),而且可以大幅度提高被控溫度的技術(shù)指標(biāo),從而能夠大大提高產(chǎn)品的質(zhì)量和數(shù)量。因此,單片機(jī)對(duì)溫度的控制問(wèn)題是一個(gè)工業(yè)生產(chǎn)中經(jīng)常會(huì)遇到的問(wèn)題。同時(shí)溫度也是生活中最常見(jiàn)的一個(gè)物理量,也是人們很關(guān)心的一個(gè)物理量,它與我們的生活息息相關(guān),有著十分重要的意義,在工業(yè)生產(chǎn)中,溫度過(guò)高或過(guò)低會(huì)直接影響到產(chǎn)品的質(zhì)量、對(duì)機(jī)械設(shè)備和控制系統(tǒng)中的各種元器件造成一定的損壞,嚴(yán)重的會(huì)影響到生產(chǎn)安全。在日常生活中,溫度過(guò)高或過(guò)低同樣會(huì)造成一些不良影響。在實(shí)際生產(chǎn)、生活等各個(gè)領(lǐng)域中,溫度是環(huán)境因素的不可或缺的一部分,對(duì)溫度及時(shí)精確的控制和檢測(cè)顯得尤為重要?,F(xiàn)隨著人們生活水平的不斷提高,單片機(jī)控制無(wú)疑是人們追求的目標(biāo)之一,它所給人帶來(lái)的方便也是不可否定的,其中數(shù)字溫度計(jì)就是一個(gè)典型的例子,但人們對(duì)它的要求越來(lái)越高,要為現(xiàn)代人工作、科研、生活、提供更好的更方便的設(shè)施就需要從數(shù)單片機(jī)技術(shù)入手,一切向著數(shù)字化控制,智能化控制方向發(fā)展。1 硬件系統(tǒng)總體方案設(shè)計(jì)采用數(shù)字溫度芯片DS18B20測(cè)量溫度,輸出信號(hào)全數(shù)字化。便于單片機(jī)處理和控制,省去傳統(tǒng)的測(cè)溫方法的很多外圍電路。且該芯片的物理化學(xué)性質(zhì)穩(wěn)定,它溫用作工業(yè)測(cè)溫元件,此元件線性較好。在0-100攝氏度時(shí),最大線性偏差小于1攝氏度。DS18B20的最大特點(diǎn)之一是采用了單總數(shù)的數(shù)據(jù)傳輸,由數(shù)字溫度計(jì)DS18B20和微控制器AT89C52構(gòu)成溫度測(cè)量裝置,它直接輸出溫度的數(shù)字信號(hào),可直接和計(jì)算機(jī)連接。這樣溫度系統(tǒng)的結(jié)構(gòu)就比較簡(jiǎn)單,體積也不大。采用51單片機(jī)控制軟件編程的自由度大,而且體積小,硬件實(shí)現(xiàn)簡(jiǎn)單,安裝方便。該系統(tǒng)利用AT89C52芯片控制溫度傳感器DS18B20進(jìn)行溫度的實(shí)時(shí)檢測(cè)并顯示,能夠?qū)崿F(xiàn)快速測(cè)量環(huán)境溫度。硬件以微控制器為核心,外接時(shí)鐘電路、復(fù)位電路、溫度測(cè)量電路、LED顯示電路組成。總體硬件電路如1所示:圖1-1系統(tǒng)方案圖本溫度計(jì)大體分三個(gè)工作過(guò)程。首先,由DS18820溫度傳感器芯片測(cè)量當(dāng)前的溫度,并將結(jié)果送入單片機(jī)。然后,通過(guò)89C205I單片機(jī)芯片對(duì)送來(lái)的測(cè)量溫度讀數(shù)進(jìn)行計(jì)算和轉(zhuǎn)換,井將此結(jié)果送入顯示模塊。2單元電路設(shè)計(jì)2.1 傳感器電路 2.1.1 DS18B20的簡(jiǎn)單介紹DS18B20是DALLAS公司生產(chǎn)的一線式數(shù)字溫度傳感器,具有3引腳TO92小體積封裝形式;溫度測(cè)量范圍為55125,可編程為9位12位A/D轉(zhuǎn)換精度,測(cè)溫分辨率可達(dá)0.0625,被測(cè)溫度用符號(hào)擴(kuò)展的16位數(shù)字量方式串行輸出;其工作電源既可在遠(yuǎn)端引入,也可采用寄生電源方式產(chǎn)生;多個(gè)DS18B20可以并聯(lián)到3根或2根線上,CPU只需一根端口線就能與諸多DS18B20通信,占用微處理器的端口較少,可節(jié)省大量的引線和邏輯電路。以上特點(diǎn)使DS18B20非常適用于遠(yuǎn)距離 多點(diǎn)溫度檢測(cè)系統(tǒng)。2.1.2 DS18B20的性能特點(diǎn)獨(dú)特的單線接口方式,DS18B20在與微處理器連接時(shí)僅需要一條口線即可實(shí)現(xiàn)微處理器與DS18B20的雙向通訊。DS18B20支持多點(diǎn)組網(wǎng)功能,多個(gè)DS18B20可以并聯(lián)在唯一的三線上,實(shí)現(xiàn)組網(wǎng)多點(diǎn)測(cè)溫。DS18B20在使用中不需要任何外圍元件,全部傳感元件及轉(zhuǎn)換電路集成在形如一只三極管的集成電路內(nèi)。適應(yīng)電壓范圍更寬,電壓范圍:3.05.5V,在寄生電源方式下可由數(shù)據(jù)線供電。溫范圍55125,在-10+85時(shí)精度為0.5。零待機(jī)功耗。可編程的分辨率為912位,對(duì)應(yīng)的可分辨溫度分別為0.5、0.25、0.125和0.0625,可實(shí)現(xiàn)高精度測(cè)溫。在9位分辨率時(shí)最多在93.75ms內(nèi)把溫度轉(zhuǎn)換為數(shù)字,12位分辨率時(shí)最多在750ms內(nèi)把溫度值轉(zhuǎn)換為數(shù)字,速度更快。用戶可定義報(bào)警設(shè)置。報(bào)警搜索命令識(shí)別并標(biāo)志超過(guò)程序限定溫度(溫度報(bào)警條件)的器件。測(cè)量結(jié)果直接輸出數(shù)字溫度信號(hào),以一線總線串行傳送給CPU,同時(shí)可傳送CRC校驗(yàn)碼,具有極強(qiáng)的抗干擾糾錯(cuò)能力。負(fù)電壓特性,電源極性接反時(shí),溫度計(jì)不會(huì)因發(fā)熱而燒毀,但不能正常工作。2.1.3DS18B20引腳定義 (1)引腳定義1)DQ為數(shù)字信號(hào)輸入/輸出端; 2)GND為電源地; 3)VDD為外接供電電源輸入端(在寄生電源接線方式時(shí)接地)。圖2-1 DS18B20引腳圖(2)內(nèi)部結(jié)構(gòu) DS18B20內(nèi)部結(jié)構(gòu)主要由四部分組成:64位光刻ROM、溫度傳感器、非揮發(fā)的溫度報(bào)警觸發(fā)器TH和TL、配置寄存器。圖2-2單片機(jī)內(nèi)部結(jié)構(gòu)圖 2.1.4 DS18B20功能實(shí)現(xiàn)原理DS18B20的測(cè)溫原理如圖2所示,圖中低溫度系數(shù)晶振的振蕩頻率受溫度的影響很小用于產(chǎn)生固定頻率的脈沖信號(hào)送給減法計(jì)數(shù)器1,高溫度系數(shù)晶振隨溫度變化其震蕩頻率明顯改變,所產(chǎn)生的信號(hào)作為減法計(jì)數(shù)器2的脈沖輸入,圖中還隱含著計(jì)數(shù)門,當(dāng)計(jì)數(shù)門打開時(shí),DS18B20就對(duì)低溫度系數(shù)振蕩器產(chǎn)生的時(shí)鐘脈沖后進(jìn)行計(jì)數(shù),進(jìn)而完成溫度測(cè)量.計(jì)數(shù)門的開啟時(shí)間由高溫度系數(shù)振蕩器來(lái)決定,每次測(cè)量前,首先將-55所對(duì)應(yīng)的基數(shù)分別置入減法計(jì)數(shù)器1和溫度寄存器中,減法計(jì)數(shù)器1和溫度寄存器被預(yù)置在-55所對(duì)應(yīng)的一個(gè)基數(shù)值。減法計(jì)數(shù)器1對(duì)低溫度系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行減法計(jì)數(shù),當(dāng)減法計(jì)數(shù)器1的預(yù)置值減到0時(shí)溫度寄存器的值將加1,減法計(jì)數(shù)器1的預(yù)置將重新被裝入,減法計(jì)數(shù)器1重新開始對(duì)低溫度系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行計(jì)數(shù),如此循環(huán)直到減法計(jì)數(shù)器2計(jì)數(shù)到0時(shí),停止溫度寄存器值的累加,此時(shí)溫度寄存器中的數(shù)值即為所測(cè)溫圖2中的斜率累加器用于補(bǔ)償和修正測(cè)溫過(guò)程中的非線性其輸出用,于修正減法計(jì)數(shù)器的預(yù)置值,只要計(jì)數(shù)門仍未關(guān)閉就重復(fù)上述過(guò)程,直至溫度寄存器值達(dá)到被測(cè)溫度值,這就是DS18B20的測(cè)溫原理。另外,由于DS18B20單線通信功能是分時(shí)完成的,他有嚴(yán)格的時(shí)隙概念,因此讀寫時(shí)序很重要。系統(tǒng)對(duì)DS18B20的各種操作必須按協(xié)議進(jìn)行。操作協(xié)議為:初始化DS18B20(發(fā)復(fù)位脈沖)發(fā)ROM功能命令發(fā)存儲(chǔ)器操作命令處理數(shù)據(jù)。圖2-3 DS18B20測(cè)溫原理圖在正常測(cè)溫情況下,DS1820的測(cè)溫分辨力為0.5,可采用下述方法獲得高分辨率的溫度測(cè)量結(jié)果:首先用DS1820提供的讀暫存器指令(BEH)讀出以0.5為分辨率的溫度測(cè)量結(jié)果,然后切去測(cè)量結(jié)果中的最低有效位(LSB),得到所測(cè)實(shí)際溫度的整數(shù)部分Tz,然后再用BEH指令取計(jì)數(shù)器1的計(jì)數(shù)剩余值Cs和每度計(jì)數(shù)值CD。考慮到DS1820測(cè)量溫度的整數(shù)部分以0.25、0.75為進(jìn)位界限的關(guān)系,實(shí)際溫度Ts可用下式計(jì)算:Ts=(Tz-0.25)+(CD-Cs)/CD2.2 單片機(jī)最小系統(tǒng)2.2.1 STC89C52單片機(jī) stc89C52是一個(gè)低電壓,高性能CMOS8位單片機(jī),片內(nèi)含4kbytes的可反復(fù)擦寫的Flash只讀程序存儲(chǔ)器和128bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),器件采用ATMEL公司的高密度、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器和Flash存儲(chǔ)單元,內(nèi)置功能強(qiáng)大的微型計(jì)算機(jī)的AT89C52提供了高性價(jià)比的解決方案。stc89C52是一個(gè)低功耗高性能單片機(jī),40個(gè)引腳,32個(gè)外部雙向輸入/輸出(I/O)端口,同時(shí)內(nèi)含2個(gè)外中斷口,2個(gè)16位可編程定時(shí)計(jì)數(shù)器,2個(gè)全雙工串行通信口,AT89C51可以按照常規(guī)方法進(jìn)行編程,也可以在線編程。其將通用的微處理器和Flash存儲(chǔ)器結(jié)合在一起,特別是可反復(fù)擦寫的Flash存儲(chǔ)器可有效地降低開發(fā)成本。 一、引腳排列圖2-4 AT89C52引腳圖VCC:供電電壓。GND:接地。P0口:P0口為一個(gè)8位漏級(jí)開路雙向I/O口,每個(gè)管腳可吸收8TTL門電流。當(dāng)P1口的管腳寫“1”時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FLASH編程時(shí),P0口作為原碼輸入口,當(dāng)FLASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部電位必須被拉高。P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入“1”后,電位被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門電流,當(dāng)P2口被寫“1”時(shí),其管腳電位被內(nèi)部上拉電阻拉高,且作為輸入。作為輸入時(shí),P2口的管腳電位被外部拉低,將輸出電流,這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉的優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè) TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入時(shí),由于外部下拉為低電平,P3口將輸出電流(ILL),也是由于上拉的緣故。P3口也可作為AT89C51的一些特殊功能口:1) P3.0RXD(串行輸入口);l 2) P3.1TXD(串行輸出口); 3 )P3.2INT0(外部中斷0);l 4) P3.3INT1(外部中斷1);l 5) P3.4T0(記時(shí)器0外部輸入);l 6)P3.5T1(記時(shí)器1外部輸入);l 7)P3.6WR(外部數(shù)據(jù)存儲(chǔ)器寫選通);l 8)P3.7RD(外部數(shù)據(jù)存儲(chǔ)器讀選通);RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。ALE/PROG:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過(guò)一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時(shí),ALE只有在執(zhí)行MOVX,MOVC指令時(shí)ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無(wú)效。PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取址期間,每個(gè)機(jī)器周期PSEN兩次有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的PSEN信號(hào)將不出現(xiàn)。EA/VPP:當(dāng)EA保持低電平時(shí),訪問(wèn)外部ROM;注意加密方式1時(shí),EA將內(nèi)部鎖定為RESET;當(dāng)EA端保持高電平時(shí),訪問(wèn)內(nèi)部ROM。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。XTAL2:來(lái)自反向振蕩器的輸出。二、STC89C52的功能兼容MCS51指令系統(tǒng),8K可反復(fù)擦寫Flash ROM,32個(gè)雙向I/O口 ,256x8bit內(nèi)部RAM ,3個(gè)16位可編程定時(shí)/計(jì)數(shù)器中斷,時(shí)鐘頻率0-24MHz ,2個(gè)串行中斷,可編程UART串行通道,2個(gè)外部中斷源 ,共6個(gè)中斷源 ,2個(gè)讀寫中斷口線 ,3級(jí)加密位,低功耗空閑和掉電模式,軟件設(shè)置睡眠和喚醒功能。2.2.2最小系統(tǒng) 單片機(jī)最小系統(tǒng)電路如圖:2-5 單片機(jī)最小系統(tǒng)圖主要由時(shí)鐘電路,復(fù)位電路和單片機(jī)組成。一、時(shí)鐘電路STC89C52內(nèi)部有一個(gè)用于構(gòu)成振蕩器的高增益反相放大器,引腳RXD和TXD分別是此放大器的輸入端和輸出端。時(shí)鐘可以由內(nèi)部方式產(chǎn)生或外部方式產(chǎn)生。內(nèi)部方式的時(shí)鐘電路如圖42(a)所示,在RXD和TXD引腳上外接定時(shí)元件,內(nèi)部振蕩器就產(chǎn)生自激振蕩。定時(shí)元件通常采用石英晶體和電容組成的并聯(lián)諧振回路。晶體振蕩頻率可以在1.212MHz之間選擇,電容值在530pF之間選擇,電容值的大小可對(duì)頻率起微調(diào)的作用。外部方式的時(shí)鐘電路如圖42(b)所示,RXD接地,TXD接外部振蕩器。對(duì)外部振蕩信號(hào)無(wú)特殊要求,只要求保證脈沖寬度,一般采用頻率低于12MHz的方波信號(hào)。片內(nèi)時(shí)鐘發(fā)生器把振蕩頻率兩分頻,產(chǎn)生一個(gè)兩相時(shí)鐘P1和P2,供單片機(jī)使用。 圖2-5 時(shí)鐘電路二、復(fù)位電路為確保兩點(diǎn)間溫度控制系統(tǒng)中電路穩(wěn)定可靠工作,復(fù)位電路是必不可少的一部分,復(fù)位電路的第一功能是上電復(fù)位。一般電路正常工作需要供電電源為5V5%,即4.755.25V。復(fù)位是單片機(jī)的初始化操作,其目的是使CPU及各專用寄存器處于一個(gè)確定的初始狀態(tài)。如:把PC的內(nèi)容初始化為0000H,使單片機(jī)從0000H單元開始執(zhí)行程序。除了進(jìn)入系統(tǒng)的正常初始化之外,當(dāng)單片機(jī)系統(tǒng)在運(yùn)行出錯(cuò)或操作錯(cuò)誤使系統(tǒng)處于死鎖狀態(tài)時(shí),為擺脫困境,也需要復(fù)位以使其恢復(fù)正常工作狀態(tài)。RST端的外部復(fù)位電路有兩種操作方式:上電自動(dòng)復(fù)位和按鍵手動(dòng)復(fù)位。按鍵手動(dòng)復(fù)位有電平方式和脈沖方式兩種,本系統(tǒng)設(shè)計(jì)采用上電復(fù)位,如圖2-6所示。上電復(fù)位是直接將RST端通過(guò)電阻接高電平來(lái)實(shí)現(xiàn)單片機(jī)的復(fù)位。 圖2-6 復(fù)位電路2.3顯示電路 2.3.1數(shù)碼塊顯示圖2-7 數(shù)碼管1)數(shù)碼管使用條件a、段及小數(shù)點(diǎn)上加限流電阻b、使用電壓:段:根據(jù)發(fā)光顏色決定;小數(shù)點(diǎn):根據(jù)發(fā)光顏色決定c、使用電流:靜態(tài):總電流80mA(每段10mA);動(dòng)態(tài):平均電流4-5mA峰值電流100mA2)七段數(shù)碼管引腳圖。其中共陽(yáng)極數(shù)碼管引腳圖和共陰極的是一樣的,4位數(shù)碼管引腳圖數(shù)碼管使用注意事項(xiàng)說(shuō)明:()數(shù)碼管表面不要用手觸摸,不要用手去弄引角;()焊接溫度:度;焊接時(shí)間:()表面有保護(hù)膜的產(chǎn)品,可以在使用前撕下來(lái)。 圖2-8 數(shù)碼管引腳圖 2.3.2 數(shù)碼管的分類這類數(shù)碼管可以分為共陽(yáng)極與共陰極兩種,共陽(yáng)極就是把所有LED的陽(yáng)極連接到共同接點(diǎn)com,而每個(gè)LED的陰極分別為a、b、c、d、e、f、g及dp(小數(shù)點(diǎn));共陰極則是把所有LED的陰極連接到共同接點(diǎn)com,而每個(gè)LED的陽(yáng)極分別為a、b、c、d、e、f、g及dp(小數(shù)點(diǎn)),如下圖所示。圖中的8個(gè)LED分別與上面那個(gè)圖中的ADP各段相對(duì)應(yīng),通過(guò)控制各個(gè)LED的亮滅來(lái)顯示數(shù)字。這一次我們選擇的是共陰極的數(shù)碼管。圖2-9共陰極連接方式3系統(tǒng)軟件設(shè)計(jì) 3.1.主程序圖3-1 程序框圖 (程序見(jiàn)附錄1)3.2溫度檢測(cè)模塊DS18B203-2 溫度檢測(cè)程序框圖 (程序見(jiàn)附錄2) 3.3溫度顯示模塊圖3-4溫度顯示程序框圖 (程序見(jiàn)附錄2) 4硬件仿真 4.1Proteus介紹 4.1.1關(guān)于proteusProteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。它是比較好的仿真單片機(jī)及外圍器件的工具。雖然國(guó)內(nèi)推廣剛起步,但已受到單片機(jī)愛(ài)好者、從事單片機(jī)教學(xué)的教師、致力于單片機(jī)開發(fā)應(yīng)用的科技工作者的青睞。Proteus是世界上著名的EDA工具(仿真軟件),從原理圖布圖、代碼調(diào)試到單片機(jī)與外圍電路協(xié)同仿真,一鍵切換到PCB設(shè)計(jì),真正實(shí)現(xiàn)了從概念到產(chǎn)品的完整設(shè)計(jì)。是現(xiàn)今世界上唯一將電路仿真軟件、PCB設(shè)計(jì)軟件和虛擬模型仿真軟件三合一的設(shè)計(jì)平臺(tái),其處理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等。 4.1.2功能 智能原理布圖,混合電路仿真與精確分析,單片機(jī)軟件調(diào)試,單片機(jī)與外圍電路的協(xié)同仿真,PCB自動(dòng)布局與布線。4.1.3硬件仿真電路圖4.1 仿真電路圖5安裝調(diào)試5.1硬件制作 5.1.1 硬件制作過(guò)程1)元件檢查:依次對(duì)電解電容的容量,損耗,漏電流進(jìn)行檢測(cè),對(duì)晶振的頻偏,負(fù)載電容進(jìn)行檢查,以及對(duì)感溫元件,單片機(jī),和數(shù)碼管進(jìn)行功能測(cè)試。2)焊接:對(duì)元件的焊接要注意避免虛焊,漏焊的情況。并且也要注意焊接時(shí)間的控制,以免焊接時(shí)間過(guò)長(zhǎng),造成元件或板子的損壞。3) 連線:在有的地方,我們可以用連接線來(lái)進(jìn)行元件與元件的連接,在連接線的排列過(guò)程中,盡量把排得整齊一點(diǎn),避免線太亂造成檢查困難和影響美觀。5.2系統(tǒng)調(diào)試第一步、檢查PCB板在通電調(diào)試之前,先檢查PCB電路連線是否有錯(cuò)誤。然后對(duì)照PCB電路圖,挨個(gè)對(duì)元件進(jìn)行檢查。第二步、通電觀察調(diào)試好所需要的電源電壓數(shù)值,并確定PCB電路板電源端無(wú)短路現(xiàn)象后,才能給PCB電路接通電源。電源一經(jīng)接通,不要急于用儀器觀測(cè)波形和數(shù)據(jù),而是要觀察是否有異常現(xiàn)象,如冒煙、異常氣味、放電的聲光、元器件發(fā)燙等。如果有,不要驚慌失措,而應(yīng)立即關(guān)斷電源,待排除故障后方可重新接通電源。然后,再測(cè)量每個(gè)集成塊的電源引腳電壓是否正常,以確信集成PCB電路是否已通電工作。第三步、功能測(cè)試測(cè)試并記錄測(cè)試數(shù)據(jù),對(duì)測(cè)試數(shù)據(jù)進(jìn)行分析,最后作出測(cè)試結(jié)論,以確定PCB電路的技術(shù)指標(biāo)是否符合設(shè)計(jì)要求。如有不符,則應(yīng)仔細(xì)檢查問(wèn)題所在,一般是對(duì)某些元件參數(shù)加以調(diào)整和改變。若仍達(dá)不到要求,則應(yīng)對(duì)某部分PCB電路進(jìn)行修改,甚至要對(duì)整個(gè)PCB電路重新加以修改。因此,要求在設(shè)計(jì)的全過(guò)程中,要認(rèn)真、細(xì)致,考慮問(wèn)題要更周全。盡管如此,出現(xiàn)局部返工也是難免的??偨Y(jié)通過(guò)這次課程設(shè)計(jì),加強(qiáng)了自己動(dòng)手、思考和解決問(wèn)題的能力。在設(shè)計(jì)過(guò)程中,經(jīng)常會(huì)遇到這樣那樣的情況,就是心里想老著這樣的接法可以行得通,但實(shí)際接上電路,總是實(shí)現(xiàn)不了,因此耗費(fèi)在這上面的時(shí)間用去很多。我知道做課程設(shè)計(jì)同時(shí)也是對(duì)課本知識(shí)的鞏固,并且對(duì)于傳感器與單片機(jī)在電路中的使用有了更多的認(rèn)識(shí)。平時(shí)看課本時(shí),有時(shí)問(wèn)題老是弄不懂,做完課程設(shè)計(jì),那些問(wèn)題就迎刃而解了。而且還可以記住很多東西。比如單片機(jī)各個(gè)引腳的功能,平時(shí)看課本,這次看了,下次就忘了,通過(guò)動(dòng)手實(shí)踐讓我們對(duì)各個(gè)元件映象深刻。認(rèn)識(shí)來(lái)源于實(shí)踐,實(shí)踐是認(rèn)識(shí)的動(dòng)力和最終目的,實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。所以這個(gè)期末測(cè)試之后的課程設(shè)計(jì)對(duì)我們的作用是非常大的。經(jīng)過(guò)這兩周的設(shè)計(jì),總算成功的完成任務(wù),過(guò)程曲折可謂一語(yǔ)難盡。在此期間也失落過(guò),也曾一度熱情高漲。從開始時(shí)滿富盛激情到最后汗水背后的復(fù)雜心情,點(diǎn)點(diǎn)滴滴無(wú)不令我回味無(wú)長(zhǎng)。通過(guò)這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,可以說(shuō)得是困難重重,這畢竟第一次做的,難免會(huì)遇到過(guò)各種各樣的問(wèn)題,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固。這次課程設(shè)計(jì)終于順利完成了。同時(shí),在老師的身上我們學(xué)也到很多實(shí)用的知識(shí),再次我們表示感謝!此次課程設(shè)計(jì),學(xué)到了很多課內(nèi)學(xué)不到的東西,比如獨(dú)立思考解決問(wèn)題,出現(xiàn)差錯(cuò)的隨機(jī)應(yīng)變,和遇到解決不了的問(wèn)題及時(shí)請(qǐng)教他人,我都受益非淺。致謝在這次畢業(yè)論文完成以后,我們面臨的是離開學(xué)校和老師的懷抱,真正投身到這個(gè)復(fù)雜而紛繁的社會(huì)之中,這時(shí)候的我才意識(shí)到老師是多么的無(wú)私。像在本畢業(yè)設(shè)計(jì)的設(shè)計(jì)和制作過(guò)程中,張潔老師給了我很大的幫助及督促,在我自己不著急的時(shí)候是她在著急,她擔(dān)心著我們,是她的執(zhí)著讓我可以完成這次論文。同時(shí)也要感謝其它老師的教導(dǎo),他們教給我的知識(shí)我將一生受用。當(dāng)然也離不開鐘旭同學(xué)的熱心幫助,是他在我遇到難題的時(shí)候給了我啟發(fā)。通過(guò)本次畢業(yè)設(shè)計(jì),我在專業(yè)知識(shí)、專業(yè)技能和解決問(wèn)題方法方面得到很大的提高。更深入了解并掌握了傳感器的基本理論知識(shí),并在單片機(jī)實(shí)際電路開發(fā)和常用編程設(shè)計(jì)思路掌握方面有了一定程度的掌握,盡管本次設(shè)計(jì)還不是很完善,但這為我以后的設(shè)計(jì)之路積累了寶貴的經(jīng)驗(yàn)。參考文獻(xiàn)1 李建忠單片機(jī)原理及應(yīng)用第二版M 西安:西安電子科技大學(xué)出版社 ,2008.5。 2 何立民單片機(jī)應(yīng)用技術(shù)大全M 北京:北京航空航天大學(xué)出版社,1994。 3 袁希光傳感器技術(shù)手冊(cè)M 北京:國(guó)防工業(yè)出版社,1986。 4 馮英傳感器電路原理與制作M 成都:成都科技大學(xué)出版社,1997。 5 張有德,趙志英.單片微型機(jī)原理、應(yīng)用于實(shí)驗(yàn).復(fù)旦大學(xué)出版社,2000.4。 6 趙新民,王祁.智能儀器設(shè)計(jì)基礎(chǔ).哈爾濱:哈爾濱工業(yè)大學(xué)出版社,1999。 7 黃亮基于AT89C2051串口的LED數(shù)碼管顯示電路J電子制作,2006,第08期。 附錄1 #include / #include / #define uchar unsigned char / #define uint unsigned int / sbit DQ = P00; /數(shù)據(jù)口define interface sbit xiao =P34; /數(shù)碼管段選sbit ge =P35;/數(shù)碼管位選sbit shi =P36; /數(shù)碼管段選sbit bai =P37; /數(shù)碼管段選 uint temp; /溫度值 variable of temperature unsigned char code table = 0 x3f,0 x06,0 x5b,0 x4f,0 x66,0 x6d,0 x7d,0 x07,0 x7f,0 x6f,0 x77,0 x7c,0 x39,0 x5e,0 x79,0 x71; unsigned char code table1 = 0 xbf,0 x86,0 xdb,0 xcf,0 xe6,0 xed,0 xfd,0 x87,0 xff,0 xef,0 xf7,0 xfc,0 xb9,0 xde,0 xf9,0 xf1; /*精確延時(shí)函數(shù)*/void delay(unsigned char i) /延時(shí)1*2+5us while(-i); void delay1(unsigned char i) uchar t,m; for(m=0;mi;m+) for(t=0;t0;i-) DQ = 0; /將總線拉低,要在1us之后釋放總線 /單片機(jī)要在此下降沿后的15us內(nèi)讀數(shù)據(jù)才會(huì)有效。 _nop_(); /至少維持了1us,表示讀時(shí)序開始 dat = 1; /讓從總線上讀到的位數(shù)據(jù),依次從高位移動(dòng)到低位。 DQ = 1; /釋放總線,此后DS18B20會(huì)控制總線,把數(shù)據(jù)傳輸?shù)娇偩€上 delay(1); /延時(shí)7us,此處參照推薦的讀時(shí)序圖,盡量把控制器采樣時(shí)間放到讀時(shí)序后的15us內(nèi)的最后部分 if(DQ) /控制器進(jìn)行采樣 dat |= 0 x80; /若總線為1,即DQ為1,那就把dat的最高位置1;若為0,則不進(jìn)行處理,保持為0 delay(10); /此延時(shí)不能少,確保讀時(shí)序的長(zhǎng)度60us。 return (dat); void Write_One_Byte(uchar dat) uchar i = 0; for(i=8;i0;i-) DQ = 0; /拉低總線 _nop_(); /至少維持了1us,表示寫時(shí)序(包括寫0時(shí)序或?qū)?時(shí)序)開始 DQ = dat&0 x01; /從字節(jié)的最低位開始傳輸 /指令dat的最低位賦予給總線,必須在拉低總線后的15us內(nèi), /因?yàn)?5us后DS18B20會(huì)對(duì)總線采樣。 delay(10); /必須讓寫時(shí)序持續(xù)至少60us DQ = 1; /寫完后,必須釋放總線, dat = 1; delay(1); uint Get_Tmp() /獲取溫度get the temperature float tt; uchar a,b; Init_Ds18b20(); /初始化 Write_One_Byte(0 xcc); /忽略ROM指令 Write_One_Byte(0 x44); /溫度轉(zhuǎn)換指令 Init_Ds18b20(); /初始化 Write_One_Byte(0 xcc); /忽略ROM指令 Write_One_Byte(0 xbe); /讀暫存器指令 a = Read_One_Byte(); /讀取到的第一個(gè)字節(jié)為溫度LSB b = Read_One_Byte(); /讀取到的第一個(gè)字節(jié)為溫度MSB temp = b; /先把高八位有效數(shù)據(jù)賦于temp temp 0;i-) DQ = 0; /將總線拉低,要在1us之后釋放總線 /單片機(jī)要在此下降沿后的15us內(nèi)讀數(shù)據(jù)才會(huì)有效。 _nop_(); /至少維持了1us,表示讀時(shí)序開始 dat = 1; /讓從總線上讀到的位數(shù)據(jù),依次從高位移動(dòng)到低位。 DQ = 1; /釋放總線,此后DS18B20會(huì)控制總線,把數(shù)據(jù)傳輸?shù)娇偩€上 delay(1); /延時(shí)7us,此處參照推薦的讀時(shí)序圖,盡量把控制器采樣時(shí)間放到讀時(shí)序后的15us內(nèi)的最后部分 if(DQ) /控制器進(jìn)行采樣 dat |= 0 x80; /若總線為1,即DQ為1,那就把dat的最高位置1;若為0,則不進(jìn)行處理,保持為0 delay(10); /此延時(shí)不能少,確保讀時(shí)序的長(zhǎng)度60us。 return (dat); void Write_One_Byte(uchar dat) uchar i = 0; for(i=8;i0;i-) DQ = 0; /拉低總線 _nop_(); /至少維持了1us,表示寫時(shí)序(包括寫0時(shí)序或?qū)?時(shí)序)開始 DQ = dat&0 x01; /從字節(jié)的最低位開始傳輸 /指令dat的最低位賦予給總線,必須在拉低總線后的15us內(nèi), /因?yàn)?5us后DS18B20會(huì)對(duì)總線采樣。 delay(10); /必須讓寫時(shí)序持續(xù)至少60us DQ = 1; /寫完后,必須釋放總線, dat = 1; delay(1); uint Get_Tmp() /獲取溫度get the temperature float tt; uchar a,b; Init_Ds18b20(); /初始化 Write_One_Byte(0 xcc); /忽略ROM指令 Write_One_Byte(0 x44); /溫度轉(zhuǎn)換指令 Init_Ds18b20(); /初始化 Write_One_Byte(0 xcc); /忽略ROM指令 Write_One_Byte(0 xbe); /讀暫存器指令 a = Read_One_Byte(); /讀取到的第一個(gè)字節(jié)為溫度LSB b = Read_One_Byte(); /讀取到的第一個(gè)字節(jié)為溫度MSB temp = b; /先把高八位有效數(shù)據(jù)賦于temp temp = 8; /把以上8位數(shù)據(jù)從temp低八位移到高八位 temp = temp|a; /兩字節(jié)合成一個(gè)整型變量 tt = temp*0.0625*10+0.5; /得到真實(shí)十進(jìn)制溫度值 /因?yàn)镈S18B20可以精確到0.0625度 /所以讀回?cái)?shù)據(jù)的最低位代表的是0.0625度 temp = tt; /放大十倍 /這樣做的目的將小數(shù)點(diǎn)后第一位也轉(zhuǎn)換為可顯示數(shù)字 /同時(shí)進(jìn)行一個(gè)四舍五入操作。 return temp; /*主程序*/ void main() while(1) Display(Get_Tmp(); /*數(shù)碼碼動(dòng)態(tài)顯示函數(shù)*/ void Display(uint temp) /顯示程序 uchar A1,A2,A3,A4; A1 = temp/1000; /百位 A2 = (temp/100)%10; /十位 A3 = (temp%100)/10; /個(gè)位 A4 = temp%10; /個(gè)位 P3=0 xf0; P2 = tableA1; /顯示百位 xiao=0;delay1(10); P3=0 xf0; P2=tableA2; /顯示十位 ge=0;delay1(10); P3=0 xf0; P2= table1A3; /顯示個(gè)位 shi=0; delay1(10); P3=0 xf0; P2 = tableA4; /顯示小位 bai=0; delay1(10);

注意事項(xiàng)

本文(基于單片機(jī)的電子溫度計(jì)設(shè)計(jì)畢業(yè)論文.doc)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!