九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

工學(xué)3人機對話與數(shù)據(jù)通信ppt課件

  • 資源ID:1186439       資源大?。?span id="24d9guoke414" class="font-tahoma">2.28MB        全文頁數(shù):77頁
  • 資源格式: PPT        下載積分:20積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要20積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

工學(xué)3人機對話與數(shù)據(jù)通信ppt課件

第三章 人機對話與數(shù)據(jù)通信,鍵盤 LCD顯示器 觸摸屏技術(shù) 串行總線數(shù)據(jù)通信 PTR2000無線數(shù)據(jù)傳輸,1,3.1 鍵盤,鍵盤的種類:鍵盤上閉合鍵的識別是由專用 硬件實現(xiàn)的,稱為編碼鍵盤,靠軟件實現(xiàn)的稱為非編碼鍵盤。 鍵盤的接口必須解決下列的一些問題: (1)決定是否有鍵按下; (2)如有鍵按下,決定是哪一個鍵被按下; (3)確定被按鍵的讀數(shù); (4)反彈跳按鍵抖動的消除。 (5)處理同時按鍵既同時有一個以上的按鍵。,2,3.1.1 非編碼鍵盤,1. 獨立連接式非編碼鍵盤,µP,接 口,+V,10k*4,3,2.矩陣式非編碼鍵盤,識別按鍵的方法,行掃描法 線反轉(zhuǎn)法,4,線反轉(zhuǎn)法,5,非編碼鍵盤接口,6,3.1.2 鍵盤信號的獲取方法有三種: . 程序掃描法 中斷掃描法 定時中斷法 鍵盤監(jiān)控程序設(shè)計方法有 . 直接分析法 狀態(tài)矩陣法 3.1.3 編碼鍵盤 . 編碼鍵盤的基本任務(wù)是識別按鍵,提供按鍵讀數(shù),一個高質(zhì)量的編碼鍵盤還應(yīng)具有反彈跳、處理同時按鍵等功能. . 靜態(tài)編碼器普通編碼器如74148 可編程鍵盤/顯示接口 如8279,7,靜態(tài)式編碼器接口,0,1,2,3,4,5,6,7,10,11,12,13,1,2,3,4,8,9,7,6,74148,EI,A0,A1,A2,A2,A1,A0,a)接口電路,b)真值表,8,D0 D1 D2 D3 D4 D5 D6 D7,P0.0 P0.1 P0.2 P0.3 P0.4 P0.5 P0.6 P0.7,ALE,P2.7,RD,INT1,8051,RL7 RL6 RL5 RL4 RL3 RL2 RL1 RL0,CLK,A0,WR,RD,IRQ,74LS373,RESET,SHIFT,CNTL,20µF,+5V,2K,SL0 SL1 SL2,8279,74LS138 Y0Y7,VCC,+5V,15 14 13 12 11 10 9 8,7 6 5 4 3 2 1 0,BIC 8708,dp,BIC 8708,+5V,f,g,c,e,d,b,a,B0 B1 B2 B3 A0 A1 A2 A3,CS,WR,9,3.2 數(shù)碼顯示技術(shù),液晶顯示是一種功耗極低的被動式顯示器件。其優(yōu)點為:工作電流比LED小幾個數(shù)量級,尺寸小,厚度約為LED的1/3等。 LCD的驅(qū)動方式:驅(qū)動方式由電極引線的選擇確定。既LCD選定后,其驅(qū)動方式也就隨之確定了。 靜態(tài)驅(qū)動 迭加驅(qū)動(時分驅(qū)動),3.2.1 LCD數(shù)碼顯示,10,3.2.1、七段LCD顯示器,靜態(tài)驅(qū)動方式,VA,迭加驅(qū)動方式: 迭加驅(qū)動方式通常采用電壓平均法。其占空比有1/2、 1/8、1/12、1/16、1/32、1/64等,偏比有1/2、1/3、1/5、 1/7、1/9等。,11,硬件譯碼的LCD驅(qū)動接口-ICM7211,12,ICM7211AM與8031單片機的接口,13,3.2.2、字符式LCD顯示器,LCM-512-01A點陣字符式液晶顯示模塊: 自帶驅(qū)動IC和液晶顯示控制IC。該模塊上的控制器是HD44780內(nèi)部有字符發(fā)生器和顯示數(shù)據(jù)存儲器,可顯示96個ASCII字符和92個特殊字符。,14,二. 模塊各管腳的功能為:,Vss: 地線輸入端。 VDD: +5V電源輸入端。 Vo: 液晶顯示面板亮度調(diào)節(jié),通過1020K的電阻接到+5V和地之間起調(diào)節(jié)亮度的作用。圖3-13所示為Vo的接法。 RS: 寄存器選擇信號輸入線。當(dāng)其為低電平時,選通指令寄存器;為高電平時選通數(shù)據(jù)寄存器。 R/W: 讀/寫信號輸入線。低電平為寫入,高電平為讀出。 E: 使能信號輸入線。讀狀態(tài)下,高電平有效;寫狀態(tài)下,下降沿有效。 (14) D0D7: 數(shù)據(jù)總線。可以選擇4位總線或8位總線操作,選擇4位總線操作時使用D4D7。,15,HD44780的顯示功能: 分為DDRAM、CGRAM,1.DDRAM: 顯示數(shù)據(jù)RAM,用來寄存待顯示的字符地址指針 如果要在LCD屏幕的第一行第一列顯示一個“A”字,就要向DDRAM的80H地址寫入“A”字的地址值就行了。 ,,16,HD44780的存儲功能: 分為DDRAM、CGRAM,2. CGRAM :內(nèi)部的字符集字符 內(nèi)部的字符集字符存儲了160個不同的點陣字符圖形,如下表所示,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫、常用的符號、和日文假名等,每一個字符都有一個固定的代碼。 比如大寫的英文字母“A”的代碼是01000001B(41H),顯示時模塊把地址41H中的點陣字符圖形顯示出來,就能看到字母“A”。 ) 兩種方式顯示字母“A”: (1)在DDRAM中存入某個內(nèi)存地址(這個地址中是字符A); (2)或者在CGRAM中存入41H(表示內(nèi)部字符集中的字符A),17,字符L在內(nèi)部字符集中 對應(yīng)的代碼是多少? 高4位: 0100 (列值) 低4位: 1100 (行值) 合起來就是:01001100B=4CH,內(nèi)部字符集,18,1.清顯示命令: (執(zhí)行時間1.64ms) :功能:清DDRAM和AC值。 2.光標(biāo)返回命令: 執(zhí)行時間1.64ms) :功能:AC= 0, 光標(biāo)、畫面回HOME位 3:輸入方式: (執(zhí)行時間40µs) 設(shè)置光標(biāo)、顯示畫面移動的方向。其中:I/D =1: 數(shù)據(jù)讀、寫操作后,AC自動增一; I/D =0: 數(shù)據(jù)讀、寫操作后,AC自動減一; S = 1:數(shù)據(jù)讀、寫操作,畫面平移; S = 0: 數(shù)據(jù)讀、寫操作,畫面不動。,三、HD44780指令集,19,4.顯示開關(guān)控制: 。 其中:D表示顯示開關(guān):D = 1為開,D = 0為關(guān); C表示光標(biāo)開關(guān):C = 1為開,C = 0為關(guān); B表示閃爍開關(guān):B = 1為開,B = 0為關(guān)。 5.光標(biāo)、顯示畫面移動: 其中:S/C=1為顯示畫面位移;S/C=0為光標(biāo)位移 R/L=1為右移;R/L=0為左移 6.功能設(shè)置: 工作方式設(shè)置(初始化指令)。 其中: DL = 1:8位數(shù)據(jù)接口;DL = 0:4位數(shù)據(jù)接口; N = 1:兩行顯示;N = 0:一行顯示; F = 1:5 × 10點陣字符;F = 0:5 × 7點陣字符。,20,7.CGRAM地址設(shè)置: 將CGRAM的6位地址碼(范圍00H-3FH)寫入地址計數(shù)器AC內(nèi),隨后CPU的數(shù)據(jù)讀寫都是針對CGRAM單元的訪問。 8.DDRAM地址設(shè)置: 1 A6 A5 A4 A3 A2 A1 A0 將DDRAM的7位地址碼送入地址計數(shù)器AC內(nèi)。隨后CPU的數(shù)據(jù)讀寫都是針對大DDRAM單元的訪問。 DDRAM地址范圍80H-97H 9.讀BF及AC值: BF AC6 AC5 AC4 AC3 AC2 AC1 AC0 讀出忙標(biāo)志BF的值。如果BF=1,表示系統(tǒng)內(nèi)部正在進(jìn)行工作,不能接收下一條指令。同時能夠讀出AC的值(7位)。AC到底是DDRAM還是CGRAM,取決于上次對AC的讀寫。,21,10.寫數(shù)據(jù) 。 CPU把數(shù)據(jù)寫入DDRAM或CGRAM。 如果上一次操作的是DDRAM,則寫入的是要顯示的字符在內(nèi)存中的地址。 如果上一次操作的是CGRAM,則寫入的是該字符在內(nèi)部字符集中的編碼。 在寫數(shù)據(jù)前,要解決: (1) 希望寫入的字符顯示在LCD屏的哪個位置上?(即DDRAM地址或 CGRAM地址) (2)寫入一個字符扣,LCD是加1還是減1? 11.讀數(shù)據(jù)。,22,3.2.3 圖形式LCD顯示器FM12864F-12,FM12864F-12液晶顯示模塊: 128×64全圖形點陣液晶顯示器 內(nèi)置8192個中文漢字(16X16點陣)、 128個字符(8X16點陣) 一屏可顯示8×4個(16×16點陣)漢字 64X256點陣圖形顯示RAM(GDRAM)。 可與CPU直接接口,提供兩種界面來連接微處理機:8-位并行及串行兩種連接方式。具有多種功能:光標(biāo)顯示、畫面移位、睡眠模式等。,23,3.2.3 圖形式LCD顯示器FM12864F-12- 一、FM12864F-12外觀,24,二、引出線的功能,25,三、與硬件的接口 (可以并口方式接,也可以串口方式接),并口方式,串口方式,26,并口方式,27,四. FM12864F-12的指令系統(tǒng)(基本指令集),28,指令集使用說明,1、當(dāng)模塊在接受指令前,微處理順必須先確認(rèn)模塊內(nèi)部處于非忙碌狀態(tài),即讀取BF標(biāo)志時BF需為0,方可接受新的指令;如果在送出一個指令前并不檢查BF標(biāo)志,那么在前一個指令和這個指令中間必須延遲一段較長的時間,即是等待前一個指令確實執(zhí)行完成,指令執(zhí)行的時間請參考指令表中的個別指令說明。 2、基本指令集與擴(kuò)充指令集的選擇控制位是“RE”。當(dāng)變更“RE”位元后,往后的指令集將維持在最后的狀態(tài),除非再次變更“RE”位元,否則使用相同指令集時,不需每次重設(shè)“RE”位元。,29,基本指令集,1、清除顯示 功能:清除顯示屏幕,把DDRAM AC計數(shù)器調(diào)整為“00H” 2、位址歸位 功能:把DDRAM AC計數(shù)器調(diào)整為“00H”,游標(biāo)回原點,該功能不影響顯示DDRAM,CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,3、位址歸位 CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,3、位址歸位 CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 功能:把DDRAM AC計數(shù)器調(diào)整為“00H”,游標(biāo)回原點,該功能不影響顯示DDRAM功能:執(zhí)行該命令后,所設(shè)置的行將顯示在屏幕的第一行。 顯示起始行是由Z地址計數(shù)器控制的,該命令自動將A0-A5位地址送入Z地址計數(shù)器,起始地址可以是0-63范圍內(nèi)任意一行。Z地址計數(shù)器具有循環(huán)計數(shù)功能,用于顯示行掃描同步, 當(dāng)掃描完一行后自動加一。,30,基本指令集,4、顯示狀態(tài) 開/關(guān) 功能: D=1;整體顯示ON C=1;游標(biāo)ON B=1;游標(biāo)位置ON 5、游標(biāo)或顯示移位控制 功能:設(shè)定游標(biāo)的移動與顯示的移位控制位:這個指令并不改變DDRAM的內(nèi)容,CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,3、位址歸位 CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,6、功能設(shè)定 CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 功能:D0=1(必須設(shè)為1) RE=1;擴(kuò)充指令集動作 RE=0:基本指令集動作,31,基本指令集,7、設(shè)定CGRAM AC的值 功能:設(shè)定CGRAM位址到位址計數(shù)器(AC) 8、設(shè)定DDRAM AC的值 功能:設(shè)定DDRAM位址到位址計數(shù)器(AC),CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,9、讀取忙碌狀態(tài)(BF)和位址 CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 功能:讀取忙碌狀態(tài)(BF)可以確認(rèn)內(nèi)部動作是否完成,同時可以讀出位址計數(shù)器(AC)的值,32,基本指令集,10、寫資料到RAM 功能:寫入資料到內(nèi)部的RAM(DDRAM/CGRAM/TRAM/GDRAM) 11、讀出RAM的值 功能:從內(nèi)部RAM讀取資料(DDRAM/CGRAM/TRAM/GDRAM),CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,3、位址歸位 CODE: RW RS DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0,33,五. FM12864F-12的指令系統(tǒng)(擴(kuò)充指令集),34,六、FM12864F-12顯示屏的漢字顯示坐標(biāo),35,七、FM12864F-12程序示例,/初始化液晶界面函數(shù),作用是清屏 void inilcd() unsigned char i; unsigned char code a4=0x30,0x01,0x02,0x0e; psb=0; delay(75); psb=1; lcd_rst = 0;delay(2);lcd_rst = 1; for(i=0;i4;i+) wcd(0,ai); ,其中: unsigned char code a4=0x30,0x01,0x02,0x0e; /DDRAM初始化的指令格式,36,七、FM12864F-12程序示例,/寫指令函數(shù)與寫數(shù)據(jù)函數(shù)wcd(i,n) /當(dāng)i為的時候為寫指令n,i為時候為寫數(shù)據(jù)n void wcd(unsigned char i,unsigned char n) rbf(); /讀忙 switch(i) case 0: rs=0;rw=0;P0=n;break; /設(shè)置寫指令時的狀態(tài) case 1: rs=1;rw=0;P0=n;break; /設(shè)置寫數(shù)據(jù)時的狀態(tài) e=1; delay(10); /將要寫入的值設(shè)置為P0的狀態(tài) e=0; /關(guān)使能 ,37,七、FM12864F-12程序示例,/讀忙標(biāo)志函數(shù) void rbf(void) do rs=0; /設(shè)置讀忙時的狀態(tài) rw=1; /P0=0xff; delay(1); /延遲一會兒 e=1; /開使能端 while(bf); /不忙則跳出 e=0; /關(guān)使能 ,38,七、FM12864F-12程序示例,void jiemian() unsigned char code b420=“Voltage Sample 1“, “Digital Input 2“,“Digital Output 3“,“Write USB 4“ ; unsigned char *pm; pm=b0; wcd(0,0x80); /寫入首地址 do wcd(1,*pm); /依次寫入數(shù)據(jù) delay(10); /字與字之間延遲一會兒 pm+; /數(shù)據(jù)地址自增一 while(*pm!='0'); pm=b1; wcd(0,0x90); /寫入首地址 do wcd(1,*pm); /依次寫入數(shù)據(jù) delay(10); /字與字之間延遲一會兒 pm+; /數(shù)據(jù)地址自增一 while(*pm!='0'); / /. ,39,七、FM12864F-12程序示例,void jiemian() / unsigned char code b420=“Voltage Sample 1“, / “Digital Input 2“,“Digital Output 3“,“Write USB 4“ ; / unsigned char *pm; / / pm=b2; wcd(0,0x88); /寫入首地址 do wcd(1,*pm); /依次寫入數(shù)據(jù) delay(10); /字與字之間延遲一會兒 pm+; /數(shù)據(jù)地址自增一 while(*pm!='0'); pm=b3; wcd(0,0x98); /寫入首地址 do wcd(1,*pm); /依次寫入數(shù)據(jù) delay(10); /字與字之間延遲一會兒 pm+; /數(shù)據(jù)地址自增一 while(*pm!='0'); ,40,3.3 觸摸屏簡介,一、觸摸屏的類型 1.按觸摸屏的結(jié)構(gòu)進(jìn)行分類 嵌入式(內(nèi)置式)結(jié)構(gòu) 外掛式 2.按觸摸屏的檢測手段進(jìn)行分類 紅外式 電阻式 電容式 表面聲波式,41,電阻觸摸屏,電阻觸摸屏的主要部分是一塊多層的復(fù)合電阻薄膜. 它最大的特點是不怕油污,灰塵,水。電阻觸摸屏 共同的缺點是因為復(fù)合薄膜的外層采用塑膠材料, 不知道的人太用力或使用銳器觸摸可能劃傷整個 觸摸屏而導(dǎo)致報廢。常用在PDA等手持設(shè)備或其它.,42,紅外觸摸屏以光束阻斷技術(shù)為基本原理,不需要 在原來的顯示器表面覆蓋任何材料.其主要優(yōu)點是價 格低廉、安裝方便、可以用在各檔次的計算機上。 缺點:發(fā)光二極管壽命比較短,影響了整個觸摸屏的 壽命;紅外線觸摸屏由于依靠感應(yīng)紅外線運作,外界 光線變化會影響其準(zhǔn)確性,且紅外線觸摸屏不防水不 防污物,甚至非常細(xì)小的外來物體也會導(dǎo)致誤差, 影響性能。近來紅外觸摸技術(shù)有較大突破.主要應(yīng)用 在較大尺寸上.,43,電容屏在原理上把人體當(dāng)作一個電容器元件的一個 電極使用,是利用人體的電流感應(yīng)進(jìn)行工作的. 電容 式觸摸屏是眾多觸摸屏中最可靠、最精確的一種,但 價錢也是眾多觸摸屏中最昂貴的一種。缺點是反光嚴(yán) 重,最大缺點是漂移.,44,表面聲波觸摸屏是眾多觸摸屏中較可靠、較精確的一 種且其價格比適中,是現(xiàn)時觸摸屏市場很暢銷的產(chǎn)品。 它具有低輻射、不耀眼、不怕震、抗干擾強等特點;抗 刮傷性良好,不受溫度、濕度等環(huán)境因素影響,壽命長 透光率高,能保持清晰透亮的圖像質(zhì)量;沒有漂移,只 需安裝時一次校正;有第三軸(即壓力軸)響應(yīng)。 灰塵、油污等對其表面影響較大。,45,46,47,ADS7843是一個內(nèi)置12位模數(shù)轉(zhuǎn)換、低導(dǎo)通電阻模擬開關(guān)的串行接口芯片。 四線電阻觸摸屏是由兩個透明電阻膜構(gòu)成的. 在它的水平和垂直電阻網(wǎng)上 施加電壓.就可通過A/D 轉(zhuǎn)換面板在觸摸點測量出電壓. 從而對應(yīng)出X、Y坐標(biāo)值,觸摸屏控制器ADS7843,48,ADS7843與單片機的連接,49,ADS7843的控制字,其中: S為數(shù)據(jù)傳輸起始標(biāo)志位,該位必為1。 A2A0進(jìn)行通道選擇(001采集Y坐標(biāo),101坐標(biāo)X坐標(biāo))。 MODE用來選擇A/D轉(zhuǎn)換的精度,1選擇8位,0選擇12位。 SER/DFR選擇參考電壓的輸入模式(為1表示參考電壓非差動輸入,為0表示差動輸入)。 PD1、PD0選擇省電模式: 00省電模式允許,在兩次A/D轉(zhuǎn)換之間掉電,且中斷允許; 01同00,只是不允許中斷; 10保留; 11禁止省電模式。 為了完成一次電極電壓切換和A/D轉(zhuǎn)換,需要先通過串口往ADS7843發(fā)送控制字,轉(zhuǎn)換完成后再通過串口讀出電壓轉(zhuǎn)換值。,50,3.4 串行總線數(shù)據(jù)通訊,3.4.1、RS-232C總線標(biāo)準(zhǔn)及應(yīng)用,計算機9芯串口引線功能,51,電平轉(zhuǎn)換芯片介紹,(1)RS-232驅(qū)動器的電平: 輸出電平 邏輯0:+5V+15V; 邏輯1:-5V -15V 輸入檢測電平 邏輯0:+3V ; 邏輯1:-3V 故可知:RS-232C使用的是負(fù)邏輯。 (2) 單片機、PC機使用的是TTL電平(正邏輯,) 輸出電平 邏輯0,0 0.8VCC; 邏輯1,2.4 5VCC 輸入檢測電平: 邏輯0,2.4VCC (3)因此,需要有將RS-232電平轉(zhuǎn)換成TTL電平的轉(zhuǎn)換芯片(MAX232A),52,電平轉(zhuǎn)換芯片介紹(兩個單片機通訊),TXD,RXD,TXD,RXD,T1IN,R1OUT,T1OUT,R1IN,R2IN,T1OUT,T1IN,R2OUT,TTL電平,8051,8051,MAX232A,MAX232A,TTL電平,RS-232電平,RS-232電平,TTL電平,TTL電平,53,電平轉(zhuǎn)換芯片介紹(單片機和PC機通訊),TXD,RXD,T1IN,R1OUT,T1OUT,R1IN,RXD,TXD,TTL電平,8051,MAX232A,PC機,TTL電平,RS-232電平,RS-232電平,PC機的串口,輸出的是RS-232電平,54,單片機和PC機通訊,55,3.4.2、RS-422/485標(biāo)準(zhǔn)總線及其應(yīng)用,RS-449與RS-232C的主要差別是信號的傳輸方式不同。RS-449接口是利用信號導(dǎo)線之間的電位差,可在1200m的雙絞線上進(jìn)行數(shù)字通信,速率可達(dá)90kb/s。由于RS-449系統(tǒng)用平衡信號差電路傳輸高速信號,所以噪聲低,又可以多點或者使用公用線通信。 RS-422是RS-449標(biāo)準(zhǔn)的子集,規(guī)定了電氣方面的要求。 RS-422A的傳輸率最大為10Mb/s,在此速率下,電纜允許長度為120m。如果采用較低傳輸速率,如90kb/s,最大距離可達(dá)1200m。 RS-485是RS-422A的變形。RS-422A為全雙工,可同時發(fā)送和接收;RS-485則為半雙工,在某一時刻,一個RS-485控制器只能作發(fā)送(或者接收),另一個作接收(或發(fā)放)。,56,RS485管腳與接線圖,57,RS485管腳與接線圖,58,RS-232C、RS-422A、RS485性能比較,59,3.4.3、USB通用串行總線及應(yīng)用 “Universal Serial Bus”,USB具有如下一些特點: USB接口統(tǒng)一了各種接口設(shè)備的連接頭, 即插即用(plug-and-play),并能自動檢測與配置系統(tǒng)的資源。 具有“熱插撥“(hot attach &detach)的特性。 USB最多可以連接127個接口設(shè)備。 USB1.1的接口設(shè)備采用兩種不同的速度: 12Mbps(全速)和1.5Mbps(慢速)。 USB 2.0的傳輸速度最高可達(dá)到480Mbps,也即是480Mbit/s.,60,(一)USB基本特性,USB采用四線電纜,其中兩根是用來傳送數(shù)據(jù)的串行通道,另兩根為下游設(shè)備提供電源 .,61,USB系統(tǒng)的基本構(gòu)架可以分為三個主要的部分: USB主機控制器/根集線器; USB集線器; USB設(shè)備。,62,(二)USB的數(shù)據(jù)傳輸,1傳輸基礎(chǔ) 配置通信 (PC機檢測新USB設(shè)備;或者單片機檢測新USB設(shè)備) 應(yīng)用通信 (傳輸?shù)骄彌_器讀取緩沖器中數(shù)據(jù)等待) 管理總線上的數(shù)據(jù) (多個USB端口共享一條唯一的傳輸通道,分時共享CPU時間。將時間分成1ms的幀,每個傳輸分配得到幀的一部分)。 注意:一個PC機可以和多個RS-232接口通訊;而多個USB接口共享一個PC機上的數(shù)據(jù)總線(所有USB連接到同一根根集線器)。,63,(二)USB的數(shù)據(jù)傳輸,2、設(shè)備端點: 每個USB設(shè)備有一個唯一的地址,這個地址是在設(shè)備連上主機時,由主機分配的,而設(shè)備中的每個端點在設(shè)備內(nèi)部有唯一的端點號。這個端點號是在設(shè)計設(shè)備時給定的。 端點是主機與設(shè)備之間通信的目的或來源??刂贫它c可以雙向傳輸數(shù)據(jù),而其它端點只能在單方向傳輸數(shù)據(jù)。主機和設(shè)備的通信最終作用于設(shè)備上的各個端點,它是主機與設(shè)備間通信流的一個邏輯終端。 每個端點都是一個簡單的連接點,或者支持?jǐn)?shù)據(jù)流進(jìn)設(shè)備,或者支持其流出設(shè)備,兩者不可兼得。設(shè)備被枚舉時,它必須向主機報告各個端點的特性,包括端點號,通信方向,端點支持的最大包大小,帶寬要求等(其中端點支持的最大包大小叫做數(shù)據(jù)有效負(fù)載)。 每個設(shè)備必須有端點0,它用于設(shè)備枚舉和對設(shè)備進(jìn)行一些基本的控制功能。 除了端點0,其余的端點在設(shè)備配置之前不能與主機通信,只有向主機報告這些端點的特性并被確認(rèn)后才能被激活。,64,(二)USB的數(shù)據(jù)傳輸,3設(shè)備連接到主機(設(shè)備枚舉) (1) USB描述符(USB的身份證) 設(shè)備描述符 (主機向設(shè)備請求的第一個描述符,包含設(shè)備一般信息和配置此設(shè)備需要使用的信息) 配置描述符 (主機給新的USB設(shè)備的配置的信息) 接口描述符 (描述每一個設(shè)備的接口特性) 端口描述符 (描述端點屬性及端點位置) (2) 設(shè)備枚舉的過程 設(shè)備插入端口(PC主機的根集線器或USB集線器的端口) 集線器在不停地輪詢端口狀態(tài),一旦檢測到電位改變,就會通知主機 主機得到響應(yīng)后,以預(yù)設(shè)的地址(地址0)響應(yīng)這個新設(shè)備,并取回設(shè)備描述符,以確定此設(shè)備是何種驅(qū)動程序 主機配置一個單獨的地址給USB設(shè)備 主機取回配置描述符,65,(二)USB的數(shù)據(jù)傳輸,4傳輸類型 USB支持四種基本的數(shù)據(jù)傳輸模式:控制傳輸,等時傳輸,中斷傳輸及數(shù)據(jù)塊傳輸。每種傳輸模式應(yīng)用到具有相同名字的終端,則具有不同的性質(zhì)。 控制傳輸類型:支持外設(shè)與主機之間的控制,狀態(tài),配置等信息的傳輸,為外設(shè)與主機之間提供一個控制通道。每種外設(shè)都支持控制傳輸類型,這樣主機與外設(shè)之間就可以傳送配置和命令/狀態(tài)信息。雙向傳輸、用于設(shè)置設(shè)備地址,讀取設(shè)備描述符與請求 等時傳輸類型:支持有周期性,有限的時延和帶寬且數(shù)據(jù)傳輸速率不變的外設(shè)與主機間的數(shù)據(jù)傳輸。該類型無差錯校驗,故不能保證正確的數(shù)據(jù)傳輸,支持像計算機電話集成系統(tǒng)(CTI)和音頻系統(tǒng)與主機的數(shù)據(jù)傳輸。 中斷傳輸類型:支持像游戲手柄,鼠標(biāo)和鍵盤等輸入設(shè)備,這些設(shè)備與主機間數(shù)據(jù)傳輸量小,無周期性,但對響應(yīng)時間敏感,要求馬上響應(yīng)。本質(zhì)上不是“中斷”,而是由周期性的“輪詢”, 批量傳輸類型:支持打印機,掃描儀,數(shù)碼相機等外設(shè),這些外設(shè)與主機間傳輸?shù)臄?shù)據(jù)量大,USB在滿足帶寬的情況下才進(jìn)行該類型的數(shù)據(jù)傳輸。如果總線忙,則等待;如果總線空閑,則快速批量傳輸。,66,(三)主機如何通信,在PC機上,USB設(shè)備與PC機的通訊 USB系統(tǒng)軟件:USB核心驅(qū)動程序、USB主控制器驅(qū)動程序、USB設(shè)備驅(qū)動程序。 其中,由PC機的操作系統(tǒng)提供的是USB核心驅(qū)動程序、USB主控制器驅(qū)動程序; USB設(shè)備驅(qū)動程序由用戶編寫。 USB核心驅(qū)動程序通過USB主控制器驅(qū)動程序與USB設(shè)備驅(qū)動程序通訊 在單片機上,USB設(shè)備通過USB接口芯片與單片機通訊。,67,(四)USB接口器件及應(yīng)用,USB專用接口芯片PDIUSBD12的主要特點: 高性能USB 接口器件集成了SIE FIFO 存儲器收發(fā)器以及電壓調(diào)整器 。 可與任何外部微控制器/微處理實現(xiàn)高速并行接口,2M 字節(jié)/秒 。 完全自治的直接內(nèi)存存取DMA 操作 。 集成320 字節(jié)多結(jié)構(gòu)FIFO 存儲器 。 主端點的雙緩沖配置增加了數(shù)據(jù)吞吐量并輕松實現(xiàn)實時數(shù)據(jù)傳輸 。 在批量模式和同步模式下均可實現(xiàn)1M 字節(jié)/秒的數(shù)據(jù)傳輸速率 。 具有良好EMI 特性的總線供電能力 。 SIE (Serial Interface Engine)是 USB 外設(shè)最重要的硬件組成部分之一 EMI(Electromagnetic Interference), 簡稱電磁干擾)是指電磁波與電子元件作用后而產(chǎn)生的干擾現(xiàn)象,68,在掛起時可控制LazyClock 輸出 。 可通過軟件控制與USB 的連接 。 采用GoodLink 技術(shù)的連接指示器,在通訊時使LED 閃爍 。 可編程的時鐘頻率輸出 。 符合ACPI OnNOW 和USB 電源管理的要求 。 內(nèi)部上電復(fù)位和低電壓復(fù)位電路 。 高于8kV 的在片靜電防護(hù)電路減少了額外元件的費用 。 多中斷模式實現(xiàn)批量和同步傳輸 。 雙電源操作3.3±0.3V 或擴(kuò)展的5V 電源,范圍為3.65.5V 。 ACPI: (高級配置和電源接口) 一種開放的工業(yè)規(guī)范,定義了對各種可移動、臺式以及服務(wù)器計算機和外設(shè)的電源管理 OnNOW:即時啟動,69,PDIUSBD12的引腳 功能,(1)DATA07:雙向數(shù)據(jù)位。 (2)ALE:地址鎖存使能。下降沿 關(guān)閉地址信息鎖存。 (3)CS-N:片選。低電平有效。 (4)SUSPEND:器件處于掛起狀態(tài)。 (5)CLKOUT:可編程時鐘輸出。 (6)INT-N:中斷。低電平有效。 (7)RD-N:讀選通。低電平有效。 (8)WR-N:寫選通。低電平有效。 (9)DMREQ:DMA請求。,70,(10)DMACK:DMA應(yīng)答。低電平有效。 (11)EOT-N:DMA傳輸結(jié)束。低電平有效。EOT-ND僅當(dāng)DMACK-N和RD-N或WR-N一起激活時才有效。 (12)RESET-N:復(fù)位。低電平有效且不同步。片內(nèi)上電復(fù)位電路,該管腳可固定接VCC。 (13)GL-N:GoodLink LED指示器。低有效。 (14)XTAL1,XTAL2:晶振連接端。如果采用外部時鐘信號取代晶振,可連接XTAL1,XTAL2應(yīng)當(dāng)懸空。 (15)D+,D-:USB D+和D-數(shù)據(jù)線。 (16)VOUT3.3:3.3V調(diào)整輸出。要使器件工作在3.3V,對VCC和VOUT3.3腳都提供3.3V。 (17)A0:地址位。A0=0,選擇命令指令;A0=1,選擇數(shù)據(jù)。該位在多路地址/數(shù)據(jù)總線配置時可忽略,應(yīng)將其接高電平。,71,PDIUSBD12與微控制器的接口,USB:universial serial bus(通用串行總線)。 PDIUSBD12與8051的連接,是串行還是并行? PDIUSBD12與USB設(shè)備連接,是串行還是并行?,72,3.5 PTR2000無線數(shù)據(jù)傳輸,調(diào)制解調(diào)器的功能 調(diào)制過程是在發(fā)送端把數(shù)字信號變換成能被模擬信道傳輸?shù)哪M信號,這是一種數(shù)/模變換過程,完成調(diào)制功能的設(shè)備是調(diào)制器; 解調(diào)過程是在接收端再把接收到的模擬信號轉(zhuǎn)換成數(shù)字信號,這是一種模/數(shù)變換過程,完成解調(diào)功能的設(shè)備是解調(diào)器。 PTR2000其實就是一種無線moden。,3.5.1 無線數(shù)傳基礎(chǔ),73,3.5.2 PTR2000無線收發(fā)模塊的應(yīng)用,PTR2000的特性 接收發(fā)射合一 .國際通用的數(shù)傳頻段433MHz FSK(頻移鍵控)調(diào)制,抗干擾能力強,特別適合工業(yè)控制場合 采用DDS+PLL頻率合成技術(shù),頻率穩(wěn)定性極好。 靈敏度高,達(dá)到-105dBm .發(fā)射功率最大+10dBm 低工作電壓(2.7V),功耗小,接收狀態(tài)250uA,待機狀態(tài)僅為8uA. 具有兩個頻道,特別滿足需要多信道工作的特殊場合 工作速率最高可達(dá)20Kbit/s(也可在較低速率下工作如9600bps) 可直接接CPU串口使用如8031,也可以接計算機RS232接口,軟件編程非常方便 由于采用了低發(fā)射功率、高接收靈敏度的設(shè)計,使用無需申請許可證,74,PTR2000的管腳說明,(1)VCC:正電源Vcc,接2.7V5.25V (2) CS:頻道選擇。CS0,選擇工作頻道1,既 433.92MHz;CS=1,選擇頻道0,既434.33MHz。 (3) DO:數(shù)據(jù)輸出。 (4) DI:數(shù)據(jù)輸入 。 (5) GND:電源地。 (6) PWR:節(jié)能控制。PWR1,正常工作狀態(tài);PWR0,待機微功耗狀態(tài) 。 (7) TXEN:發(fā)送接收控制。TXEN1時,模塊為發(fā)送狀態(tài);TXEN0時,模塊為接收狀態(tài)。,75,PTR2000的管腳說明,TXEN:發(fā)送接收控制。TXEN1時,模塊為發(fā)送狀態(tài);TXEN0時,模塊為接收狀態(tài),CS:頻道選擇。CS0,選擇工作頻道1,既 433.92MHz;CS=1,選擇頻道0,既434.33MHz,76,PTR2000 接口電路,典型應(yīng)用,77,

注意事項

本文(工學(xué)3人機對話與數(shù)據(jù)通信ppt課件)為本站會員(鐘***)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!