九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

eda課程設(shè)計(jì)基于VHDL 的智能空調(diào)控制器

  • 資源ID:120244969       資源大小:234KB        全文頁數(shù):11頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

eda課程設(shè)計(jì)基于VHDL 的智能空調(diào)控制器

電子科學(xué)與技術(shù) 專業(yè)課程設(shè)計(jì)任務(wù)書學(xué)生姓名專業(yè)班級學(xué)號題 目智能空調(diào)控制器課題性質(zhì)工程設(shè)計(jì)課題來源自擬課題指導(dǎo)教師同組姓名主要內(nèi)容1. 可自動(dòng)調(diào)節(jié)溫度2. 可設(shè)定工作時(shí)間3. 可進(jìn)行模式設(shè)置:強(qiáng),弱,自然,睡眠4. 可進(jìn)行溫度的設(shè)置任務(wù)要求1根據(jù)設(shè)計(jì)題目要求編寫相應(yīng)程序代碼2對編寫的VHDL程序代碼進(jìn)行編譯和仿真3總結(jié)設(shè)計(jì)內(nèi)容,完成課程設(shè)計(jì)說明書 參考文獻(xiàn)1 焦素敏.EDA課程設(shè)計(jì)指導(dǎo)書.鄭州:河南工業(yè)大學(xué),20082 焦素敏.EDA應(yīng)用技術(shù).北京:清華學(xué)出版社,20053 朱正偉.EDA技術(shù)及應(yīng)用.北京:北京大學(xué)出版社,20054 曹昕臣,聶春燕EDA技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì).北京:清華大學(xué)出版社,2007審查意見指導(dǎo)教師簽字:教研室主任簽字: 年 月 日 1 設(shè)計(jì)任務(wù)及要求隨著時(shí)間的發(fā)展,家用電器越來越智能化,而定時(shí),模式選擇和智能控溫只是其中最常見的功能。定時(shí)的時(shí)間分為30分鐘,一小時(shí),一個(gè)半小時(shí)和和兩個(gè)小時(shí)。溫度設(shè)置可由一個(gè)計(jì)數(shù)器實(shí)現(xiàn),由于空調(diào)的可調(diào)溫度有限制,所以計(jì)數(shù)器的計(jì)數(shù)范圍也是有限的,我設(shè)定的溫度為10到26攝氏度。溫度控制是把溫度控制在一定范圍內(nèi)的功能,當(dāng)室內(nèi)溫度高于或低于這個(gè)溫度時(shí),控制器都將會給空調(diào)一個(gè)信號使其工作,當(dāng)溫度達(dá)到這一溫度時(shí),空調(diào)停止工作。模式設(shè)置共有四個(gè)選擇:強(qiáng),弱,自然和睡眠。模式的控制可以是一個(gè)兩位二進(jìn)制計(jì)數(shù)器,四個(gè)狀態(tài)與四種模式相對應(yīng),而且四個(gè)模式循環(huán)顯示2設(shè)計(jì)原理及總體框圖總體框圖模式選擇模塊模式選擇模塊由一個(gè)選擇模塊和四個(gè)控制模塊組成。選擇模塊是一個(gè)二進(jìn)制計(jì)數(shù)器和一個(gè)二四譯碼器,二四譯碼器控制四個(gè)控制模塊。控制模塊的主要功能是控制空調(diào)的電動(dòng)機(jī)的工作速度,模式一最大,然后依次減小。溫度選擇和控制模塊溫度選擇模塊由一個(gè)計(jì)數(shù)器構(gòu)成,計(jì)數(shù)范圍為10到26??刂颇K的作用是和室溫的比較,若設(shè)置的溫度和當(dāng)前室溫不相同,則空調(diào)開始工作,當(dāng)溫度相同時(shí),空調(diào)停止工作。3 程序設(shè)計(jì)Vhdl語言概述Vhdl是一種硬件描述語言,所謂的硬件描述語言,實(shí)際就是一個(gè)描述工具,描述的對象是帶設(shè)計(jì)的電路系統(tǒng)的邏輯功能、實(shí)現(xiàn)該功能的算法、選用電路的結(jié)構(gòu)以及其他各種約束條件。與其他的硬件描述語言相比較,vhdl在進(jìn)行工程設(shè)計(jì)時(shí)有許多的優(yōu)點(diǎn)。如:vhdl的的行為,描述強(qiáng)于其他的硬件描述語言,可以直接從行為邏輯上直接對線路進(jìn)行描述。模式選擇器由一個(gè)二進(jìn)制計(jì)數(shù)器構(gòu)成library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xuanzhe is port( en: in std_logic; b: out std_logic_vector(1 downto 0);end entity;architecture one of xuanzhe is signal c: std_logic_vector(1 downto 0); begin process(en) begin if en 'event and en='1' then c<=c+1; end if;b<=c;end process;end one;定時(shí)器設(shè)計(jì)如下圖主要功能設(shè)計(jì)在設(shè)計(jì)計(jì)數(shù)器時(shí),要特別注意個(gè)位的進(jìn)位時(shí)間,當(dāng)各位計(jì)數(shù)到8時(shí),同時(shí)個(gè)位變9,進(jìn)位產(chǎn)生,在下一個(gè)高電平的時(shí)候,進(jìn)位進(jìn)到十位,個(gè)位變成0。30進(jìn)制計(jì)數(shù)器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sanshi is port(clk,clr:in std_logic; o,t:out std_logic_vector(3 downto 0); c:out std_logic);end entity;architecture arc of sanshi is signal cin: std_logic; begin process(clk,clr) variable cnt0:std_logic_vector(3 downto 0); begin if clr='1' then cnt0:="0000" elsif clk 'event and clk='1' then if cnt0="1000" then cnt0:=cnt0+1;cin<='1' elsif cnt0="1001" then cnt0:="0000" cin<='0' else cnt0:=cnt0+1;cin<='0'end if; end if;o<=cnt0;end process;process(clk,clr,cin) variable cnt1:std_logic_vector(3 downto 0); begin if clr='1' then cnt1:="0000" elsif clk 'event and clk='1' then if cin='1' then if cnt1="0010" then cnt1:="0000"c<='1' else cnt1:=cnt1+1;c<='0' end if; end if; else cnt1:=cnt1;end if;t<=cnt1;end process;end arc;仿真波形見圖二60進(jìn)制計(jì)數(shù)器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dingshiqi is port(clk,clr:in std_logic; one,ten:out std_logic_vector(3 downto 0); co:out std_logic);end entity;architecture arc of dingshiqi is signal cin: std_logic; begin process(clk,clr) variable cnt0:std_logic_vector(3 downto 0); begin if clr='1' then cnt0:="0000" elsif clk 'event and clk='1' then if cnt0="1000" then cnt0:=cnt0+1;cin<='1' elsif cnt0="1001" thencin<='0' cnt0:="0000" else cnt0:=cnt0+1;cin<='0'end if; end if;one<=cnt0;end process;process(clk,clr,cin) variable cnt1:std_logic_vector(3 downto 0); begin if clr='1' then cnt1:="0000" elsif clk 'event and clk='1' then if cin='1' then if cnt1="0101" then cnt1:="0000"co<='1' else cnt1:=cnt1+1;co<='0' end if; end if; else cnt1:=cnt1;end if;ten<=cnt1;end process;end arc;仿真波形見圖三溫度設(shè)置library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity shezhiqi is port( inc,clr: in std_logic; one,ten: out std_logic_vector(3 downto 0);end entity;architecture arc of shezhiqi issignal t10:std_logic_vector(3 downto 0);signal o1:std_logic_vector(3 downto 0);signal cin:std_logic;beginten<=t10;one<=o1;process(inc,clr)begin if clr='1' then o1<="0000" elsif inc 'event and inc='1' then if(o1="1001") or (t10="0010" and o1="0110") then o1<="0000"cin<='0' elsif o1="1000" then o1<=o1+1;cin<='1' else o1<=o1+1;cin<='0'end if;end if;end process;process(cin,inc,clr)beginif clr='1' thent10<="0000"elsif inc 'event and inc='1' then if(t10="0010" and o1="0110") then t10<="0001"end if;if cin='1' thent10<=t10+1;end if;end if;end process;end arc;仿真波形見圖四開關(guān)控制模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity kaiguan isport(shuru1,shuru2,ting:in std_logic; laoban:out std_logic);end entity;architecture one of kaiguan isbeginprocess(shuru1,shuru2,ting)beginif shuru1='1' or shuru2='1' then laoban<='1' elsif ting='1' then laoban<='0'end if;end process;end one;仿真波形見圖五4 編譯及仿真 圖1 圖二 圖三 圖四 圖五5 硬件調(diào)試與結(jié)果分析仿真結(jié)果如上圖所示。當(dāng)選擇器的電平high為高時(shí),兩個(gè)顯示轉(zhuǎn)速的zhuanshu和zhuansu1分別顯示出60、45、30、15不同的轉(zhuǎn)速,溫度控制器從10開始一直到26,最后的溫度為25,當(dāng)室溫為10的時(shí)候,空調(diào)開關(guān)顯示開,當(dāng)溫度到達(dá)25時(shí),空調(diào)開關(guān)成低電平,空調(diào)關(guān)閉。6 參考文獻(xiàn)1 焦素敏.EDA課程設(shè)計(jì)指導(dǎo)書.鄭州:河南工業(yè)大學(xué),20082 焦素敏.EDA應(yīng)用技術(shù).北京:清華學(xué)出版社,20053 朱正偉.EDA技術(shù)及應(yīng)用.北京:北京大學(xué)出版社,20054 曹昕臣,聶春燕EDA技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì).北京:清華大學(xué)出版社,2007 心得體會經(jīng)過這次EDA課程設(shè)計(jì),我對vhdl有了更深的認(rèn)識,熟練了max+plus2的使用,而且學(xué)會了quartus2的基本使用方法。很多以前沒注意的細(xì)節(jié)在這次課程設(shè)計(jì)的過程中沒少給自己制造麻煩,雖然走了不少彎路,浪費(fèi)了許多時(shí)間,但經(jīng)過努力,還是完成了這次課程設(shè)計(jì)。都說實(shí)踐才是檢驗(yàn)真理的唯一標(biāo)準(zhǔn),在學(xué)習(xí)的時(shí)候馬馬虎虎、眼高手低,到了真正進(jìn)行設(shè)計(jì)的時(shí)候勢必不會順順利利。在這次課程設(shè)計(jì)中我也認(rèn)識到,在設(shè)計(jì)之前深思熟慮的重要性,因?yàn)樵谠O(shè)計(jì)的時(shí)候?qū)崿F(xiàn)功能的途徑有很多種,多思考可以避免將簡單的問題復(fù)雜化,可以節(jié)省許多時(shí)間和精力。

注意事項(xiàng)

本文(eda課程設(shè)計(jì)基于VHDL 的智能空調(diào)控制器)為本站會員(ch****o)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!