九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

EDA課程設(shè)計(jì)報(bào)告--交通燈控制器

  • 資源ID:126568921       資源大?。?span id="24d9guoke414" class="font-tahoma">1.87MB        全文頁數(shù):12頁
  • 資源格式: DOC        下載積分:8積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要8積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

EDA課程設(shè)計(jì)報(bào)告--交通燈控制器

鄭州航空工業(yè)管理學(xué)院電子通信工程系EDA技術(shù)及應(yīng)用課程設(shè)計(jì)報(bào)告題目: 交通燈控制器姓名: 學(xué)號: 同組成員: 指導(dǎo)老師: 年 月 日目 錄一、設(shè)計(jì)任務(wù)書二、硬件系統(tǒng)設(shè)計(jì) 1、電路原理圖1.1 CPLD核心電路1.2 數(shù)碼管顯示電路1.3電源電路1.4 LED指示燈電路2、管腳分配3、設(shè)計(jì)方案三、Verilog HDL代碼設(shè)計(jì)四、系統(tǒng)調(diào)試1、邏輯功能模塊RTL級描述2、仿真圖五、總結(jié)1、對本次課程設(shè)計(jì)的總結(jié)六、參考文獻(xiàn)一、設(shè)計(jì)任務(wù)書設(shè)計(jì)要求(1)主干道通行(綠燈):支干道有車24秒;支路紅燈,數(shù)碼管實(shí)時(shí)顯示倒計(jì)時(shí)的秒,秒計(jì)時(shí)的頻率為1Hz 。()主干道緩沖(黃燈):6秒,(不顯示計(jì)數(shù)),秒計(jì)時(shí)的頻率為1Hz 。()支路通行(綠燈):20秒,主干道紅燈,數(shù)碼管實(shí)時(shí)顯示倒計(jì)時(shí)的秒,秒計(jì)時(shí)的頻率為1Hz 。()支路緩沖(黃燈):6秒,不顯示,秒計(jì)時(shí)的頻率為1Hz 。數(shù)碼管采用動(dòng)態(tài)顯示。其他要求:(1)晶振為12 MHz(2)采用CPLD 器件,為ALTERA 的EPM7064SL-44(3)采用數(shù)碼管顯示二、硬件系統(tǒng)設(shè)計(jì)1、電路原理圖:1.1 CPLD核心電路1.2 數(shù)碼管顯示電路1.3電源電路1.4 LED指示燈電路2、管腳分配管腳分配:CPLD型號: FAMILY:MAX7000AE DEVICE:EPM7064AELC44-10 sys_clk : pin 43 / 12Mhz 4個(gè)LED燈: led0 led3 : pin 14 16 17 18 8個(gè)數(shù)碼管:8個(gè)位線:com0com3(dig0dig3):pin 34, 33,31, 29, com4com7 (dig4dig7):pin 28 , 27,26 , 25 8個(gè)數(shù)據(jù)線:seg0seg 3: pin 36, 37 ,39, 40 seg4seg 7: pin 41, 4 , 5, 6 4個(gè)按鍵:sw0sw3: pin 19, 20, 21, 24 3、設(shè)計(jì)方案三、Verilog HDL代碼設(shè)計(jì)module ds(clk,led,dig,seg);/輸入input clk;/輸出output3:0 dig;output7:0 seg;output4:0 led;/定義變量reg24:0 count;reg15:0 miao;reg4:0 leden;reg7:0 seg_r;reg3:0 dig_r;reg3:0 disp_dat;reg sec;reg num;reg1:0 flag; /計(jì)數(shù)變量reg1:0f2; /計(jì)數(shù)變量assign dig=dig_r;assign seg=seg_r;assign led=leden;/秒產(chǎn)生信號always(posedge clk)begincount=count+1'b1;if(count=25'd24000000)begincount=25'b0;sec=sec;endend/數(shù)碼管動(dòng)態(tài)掃描always(posedge clk)begincase(count16:15)0:disp_dat=miao3:0;1:disp_dat=miao7:4;2:disp_dat=miao11:8;3:disp_dat=miao15:12;endcasecase(count16:15) /選擇數(shù)碼管顯示位0:dig_r=4'b1110;1:dig_r=4'b1101;2:dig_r=4'b1011;3:dig_r=4'b0111;endcaseendalways(posedge clk)begincase(disp_dat)4'h0:seg_r=8'hc0;4'h1:seg_r=8'hf9;4'h2:seg_r=8'ha4;4'h3:seg_r=8'hb0;4'h4:seg_r=8'h99;4'h5:seg_r=8'h92;4'h6:seg_r=8'h82;4'h7:seg_r=8'hf8;4'h8:seg_r=8'h80;4'h9:seg_r=8'h90;default:seg_r=8'hff;endcaseendalways(negedge sec) /數(shù)碼管倒計(jì)時(shí)及指示燈顯示 倒計(jì)時(shí)模塊beginif(flag=0)beginmiao3:0=miao3:0-1;if(miao3:0=4'hf)beginmiao7:0=8'h18; 主干道綠燈倒計(jì)時(shí)leden=5'b01101; 主綠支紅flag1:0=4'h1; 計(jì)數(shù)轉(zhuǎn)向第二步endendelse if(flag1:0=4'h1) beginmiao3:0=miao3:0-1'b1;if(miao3:0=4'hf)beginmiao7:4=miao7:4-1'b1;if(miao7:4=4'hf)beginleden4:2=3'b110; 主干道黃燈flag1:0=4'h2;miao7:0=8'h06; 黃燈倒計(jì)時(shí)endelse miao3:0=4'h9;endendelse if(flag1:0=4'h2)beginmiao3:0=miao3:0-1'b1;if(miao3:0=4'hf)beginmiao7:4=miao7:4-1'b1;if(miao7:4=4'hf)beginleden4:2=3'b101; 主干道紅燈flag1:0=4'h3;miao7:0=8'h20;endelse miao3:0=4'h9;endendelse if(flag1:0=4'h3)beginmiao3:0=miao3:0-1'b1;if(miao3:0=4'hf)beginmiao7:4=miao7:4-1'b1;if(miao7:4=4'hf)beginleden4:2=3'b011; 主干道綠燈 返回flag=1flag1:0=4'h1;miao7:0=8'h18;endelse miao3:0=4'h9;endendelse flag1:0=4'h0;if(f2=0)beginmiao11:8=miao11:8-1;if(miao11:8=4'hf)beginmiao15:8=8'h24;/leden=6'b011110;f21:0=4'h1;endendelse if(f21:0=4'h1)beginmiao11:8=miao11:8-1'b1;if(miao11:8=4'hf)beginmiao15:12=miao15:12-1'b1;if(miao15:12=4'hf)beginleden2:0=3'b110;f21:0=4'h2;miao15:8=8'h14;endelse miao11:8=4'h9;endendelse if(f21:0=4'h2)beginmiao11:8=miao11:8-1'b1;if(miao11:8=4'hf)beginmiao15:12=miao15:12-1'b1;if(miao15:12=4'hf)beginleden2:0=3'b011;f21:0=4'h3;miao15:8=8'h06;endelse miao11:8=4'h9;endendelse if(f21:0=4'h3)beginmiao11:8=miao11:8-1'b1;if(miao11:8=4'hf)beginmiao15:12=miao15:12-1'b1;if(miao15:12=4'hf)beginleden2:0=3'b101;f21:0=4'h1;miao15:8=8'h24;endelse miao11:8=4'h9;endendelse f21:0=4'h0;endendmodule四、系統(tǒng)調(diào)試1、邏輯功能模塊RTL級描述仿真圖五、總結(jié)在做本課程設(shè)計(jì)的過程中,從程序編寫到硬件實(shí)現(xiàn),我們遇到了許多問題。開始的時(shí)候,在編寫程序中無法實(shí)現(xiàn)賦初值,使程序無法初始化,led燈與數(shù)碼管不能同步。查詢資料后,設(shè)定兩個(gè)變量對程序進(jìn)行初始化,解決了出現(xiàn)的問題。由于對軟件使用不熟悉,軟件編譯頻頻出錯(cuò),通過對輔導(dǎo)資料的仔細(xì)研究,我們逐漸掌握它的使用方法,最終程序順利的進(jìn)行了編譯,在硬件聯(lián)機(jī)調(diào)試時(shí)依然是問題重重。在這次的課程設(shè)計(jì)中我們遇到的最大問題是將我們的原程序的下載到電路板上時(shí),發(fā)現(xiàn)提示說寄存器不夠的現(xiàn)象。在進(jìn)行焊接電路板的過程中,有些元器件安裝有些不容易,經(jīng)過練習(xí)熟悉后,進(jìn)一步熟練焊接工藝。經(jīng)過對程序的一些刪選,問題也得到了解決,最終通過我們不斷地努力,作品還是順利完成了??吹綒v時(shí)近一周多的交通燈控制終于在我們的期待與苦惱中正常工作,我們都非常高興。在實(shí)驗(yàn)中出現(xiàn)問題很正常,重要的是要努力克服,不為困難喪失信心,總能找到方法解決的。通過自己親手完成實(shí)驗(yàn)并親自焊接電路板,經(jīng)測試后能夠?qū)崿F(xiàn)功能,心中喜悅之極,增強(qiáng)了實(shí)踐能力,以后要多多動(dòng)手,提高自己的實(shí)踐能力。 經(jīng)過這次實(shí)踐,我感到我要學(xué)習(xí)的還有很多,深層次的知識(shí)非常缺乏,更加堅(jiān)定了我多學(xué)專業(yè)知道的態(tài)度。同時(shí),在同組合作伙伴的幫助下,解決了很多困難,充分表明了團(tuán)隊(duì)協(xié)作的重要性。六、參考文獻(xiàn)EDA技術(shù)實(shí)用教程VerilogHDL版 第四版 潘松Verilog數(shù)字系統(tǒng)設(shè)計(jì)教程 第二版 夏宇聞Verilog HDL實(shí)用教程 電子科技大學(xué)出版社 張明Verilog HDL硬件描述語言 機(jī)械工業(yè)出版社 徐振林教師評語:成績:日期:

注意事項(xiàng)

本文(EDA課程設(shè)計(jì)報(bào)告--交通燈控制器)為本站會(huì)員(dus****log)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!