九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

十字路口交通燈控制系統(tǒng)設(shè)計(jì)報(bào)告

  • 資源ID:12835074       資源大?。?span id="24d9guoke414" class="font-tahoma">422KB        全文頁數(shù):19頁
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

十字路口交通燈控制系統(tǒng)設(shè)計(jì)報(bào)告

. 課 程 設(shè) 計(jì) 設(shè)計(jì)名稱 十字路口交通燈控制系統(tǒng) 學(xué)年學(xué)期 2015-2016第二學(xué)期 課程名稱 單片機(jī)原理及應(yīng)用 專業(yè)年級 姓 名 學(xué) 號 提交日期 成 績 指導(dǎo)教師 水利與建筑工程學(xué)院十字路口交通燈控制系統(tǒng)摘要:交通的發(fā)達(dá),標(biāo)志著城市的發(fā)達(dá),相對交通的管理則顯得越來越重要。交通燈是城市交通中的重要指揮系統(tǒng),它與人們?nèi)粘I蠲芮邢嚓P(guān)隨著人們生活水平的提高,對交通管制也提出了更高的要求,因此提供一個(gè)可靠、安全、便捷的多功能交通燈控制系統(tǒng)有著現(xiàn)實(shí)的必要性。對于復(fù)雜的城市交通系統(tǒng),為了確保安全,保證正常的交通秩序,十字路口的信號控制必需按照一定的規(guī)律變化,以便于車輛行人能順利地通過十字路口??紤]到單片機(jī)具有物美價(jià)廉、功能強(qiáng)、使用方便靈活、可靠性高等特點(diǎn),擬采用MCS - 51系列的單片機(jī)來實(shí)現(xiàn)十字路口交通信號燈的控制。 正常情況下,十字路口的紅綠燈應(yīng)交替變換,考慮緊急情況下,如有救護(hù)車或警車到來時(shí),應(yīng)優(yōu)先讓其通過。另外,單片機(jī)課程設(shè)計(jì)是我們的必修課程。通過交通燈模擬系統(tǒng)的設(shè)計(jì)可以進(jìn)一步認(rèn)識單片機(jī)在控制系統(tǒng)中的重要性。在完成理論學(xué)習(xí)和必要的實(shí)驗(yàn)后,掌握了單片機(jī)的基本原理和各種基本功能的應(yīng)用,但對單片機(jī)的硬件實(shí)際應(yīng)用設(shè)計(jì)和單片機(jī)完整的用戶程序設(shè)計(jì)還不清楚,實(shí)際動手能力不夠,因此對該課程進(jìn)行一次課程設(shè)計(jì)是有必要的。交通燈模擬系統(tǒng)的課程設(shè)計(jì)既讓學(xué)生鞏固了課本學(xué)到的理論,還讓學(xué)生學(xué)習(xí)了單片機(jī)硬件電路設(shè)計(jì)和用戶程序設(shè)計(jì)的整個(gè)過程,同時(shí)學(xué)習(xí)了查閱資料、參考資料的方法。單片機(jī)的課程設(shè)計(jì)主要是通過學(xué)生獨(dú)立設(shè)計(jì)方案并自己編寫和調(diào)試用戶程序,來加深對單片機(jī)的認(rèn)識,充分發(fā)揮創(chuàng)新能力。關(guān)鍵詞:交通燈、8051單片機(jī)、中斷、擴(kuò)展ROM第一章 設(shè)計(jì)目的及要求11設(shè)計(jì)目的單片機(jī)原理及應(yīng)用課程設(shè)計(jì)是一次重要的綜合性實(shí)踐活動。該課程設(shè)計(jì)的主要目的是通過實(shí)踐活動,鞏固和加深單片機(jī)原理及應(yīng)用課程中所學(xué)的理論知識,提高實(shí)驗(yàn)動手能力,基本掌握單片機(jī)應(yīng)用電路的一般設(shè)計(jì)方法,提高電子電路的設(shè)計(jì)水平,加深對單片機(jī)軟硬知識的理解,獲得初步的應(yīng)用經(jīng)驗(yàn),為以后從事生產(chǎn)和科研工作打下一定的基礎(chǔ)。1.2設(shè)計(jì)要求1.2.1課程設(shè)計(jì)要求能熟練運(yùn)用51單片機(jī)實(shí)現(xiàn)硬件與軟件結(jié)合完成電子產(chǎn)品的設(shè)計(jì),把理論真正運(yùn)用于實(shí)踐,會用Keil等軟件編程調(diào)試運(yùn)行,熟悉應(yīng)用Proteus軟件仿真,并會用keil軟件熟練編寫和修改程序。強(qiáng)化編程練習(xí),中斷方式的區(qū)別等等。學(xué)習(xí)單片機(jī)應(yīng)用系統(tǒng)簡單I/O接口的設(shè)計(jì),學(xué)習(xí)數(shù)據(jù)輸入/輸出程序的編制方法,學(xué)習(xí)8051內(nèi)部計(jì)數(shù)器的使用和編程方法,掌握外部中斷技術(shù)的基本使用方法和中斷處理程序的編寫方法。1.2.2基本設(shè)計(jì)功能要求系統(tǒng)設(shè)計(jì)功能:復(fù)雜的十字路口交通信號燈控制系統(tǒng),分東西道和南北道,設(shè)東西道為A道,南北道為B道。系統(tǒng)設(shè)計(jì)規(guī)定:A道放行時(shí)間為60s,B道放行時(shí)間為60s;綠燈放行,紅燈停止;綠燈轉(zhuǎn)紅燈時(shí),相應(yīng)道路的黃燈亮2s。當(dāng)一道有車而另一道無車時(shí),交通燈控制系統(tǒng)能立即讓有車的車道放行。當(dāng)有緊急車輛(如110、112、119等急救車)要求通過時(shí),此系統(tǒng)應(yīng)能禁止普通車輛通行,路口的信號燈全部變紅,以便讓緊急車輛通過。假定緊急車輛通過時(shí)間為5s,緊急車輛通過后,交通燈恢復(fù)先前狀態(tài)。第二章 系統(tǒng)方案設(shè)計(jì)2.1設(shè)計(jì)任務(wù)分析及方案設(shè)計(jì)本系統(tǒng)采用單片機(jī)作為核心處理部件,I/O量量均為開關(guān)量,而緊急車輛通過時(shí),采用實(shí)時(shí)中斷方式進(jìn)行控制。8051單片機(jī)中斷按鍵復(fù)位電路晶振電路擴(kuò)展ROMLED交通燈圖2-1 總體設(shè)計(jì)圖2.1.2、硬件總體設(shè)計(jì)系統(tǒng)以常用的8051單片機(jī)作為核心處理部件,2732A作為程序存儲器,紅、綠、黃燈各四個(gè)作為交通指示燈,反應(yīng)A道、B道有無車輛的傳感器兩個(gè)(這兩個(gè)傳感器在仿真時(shí)可用開關(guān)控制高低電平表示),處理緊急車輛的中斷按鈕一個(gè)。將A道上的兩個(gè)同色燈連在一起,B道上的同色燈也彼此相連(此處用發(fā)光二極管模擬實(shí)際的交通燈,各發(fā)光二極管的陽極通過保護(hù)電阻接到+5V的電源上,發(fā)光二極管的陰極接到單片機(jī)的P1口);由8051單片機(jī)的片P1.0p1.5共六根輸出線控制各色交通燈的點(diǎn)亮與熄滅;A、B道上有無車輛的信號輸入給P1.6、P1.7口;緊急車輛通過時(shí),采用外部中斷觸發(fā)按鍵實(shí)時(shí)中斷方式進(jìn)行處理。2.1.3軟件總體設(shè)計(jì)根據(jù)硬件電路原理圖,并按系統(tǒng)的功能畫出程序流程。由于此系統(tǒng)較為簡單,故采用自上而下的設(shè)計(jì)方法,進(jìn)行程序設(shè)計(jì);對緊急車輛通過的處理,則采用中斷的方法,由中斷處理程序處理。說明:P1.6=0,表示A道有車通過;P1.6=1,表示A道無車通過。設(shè)計(jì)中斷處理程序時(shí),最重要的地方是如何保護(hù)進(jìn)入中斷前的狀態(tài)(信號燈、P口、單片機(jī)寄存器的狀態(tài)),使得中斷程序執(zhí)行完畢后能回到交通燈中斷前的狀態(tài)。除了保護(hù)累加器ACC、標(biāo)志寄存器PSW外,還要注意主程序和中斷處理程序中的延時(shí)程序不能混用。第三章 系統(tǒng)硬件設(shè)計(jì)3.1、時(shí)鐘部分電路設(shè)計(jì)時(shí)鐘電路用于產(chǎn)生MCS-51單片機(jī)工作時(shí)所必須的時(shí)鐘控制信號。其內(nèi)部電路在時(shí)鐘信號控制下,嚴(yán)格地按時(shí)序執(zhí)行指令進(jìn)行工作。在執(zhí)行指令時(shí),CPU首先要到程序存儲器中取出需要執(zhí)行的指令操作碼,然后譯碼,并由時(shí)序電路產(chǎn)生一系列控制信號去完成指令所規(guī)定操作。本設(shè)計(jì)采用12MHz晶振和兩個(gè)33Pf瓷片電容,他們構(gòu)成一個(gè)穩(wěn)定的自激振蕩器。該電容的大小影響振蕩器頻率的高低、振蕩器的穩(wěn)定性和起振的快速性。為單片機(jī)提供標(biāo)準(zhǔn)時(shí)鐘。其中兩個(gè)瓷片電容起微調(diào)作用。 如圖所示:圖3-1 時(shí)鐘電路3.2、復(fù)位部分電路設(shè)計(jì)復(fù)位電路的設(shè)計(jì)以各型號的51系列單片機(jī)的廠家資料為準(zhǔn)。各家的51單片機(jī)略有區(qū)別。一般情況下,RST引腳懸空,單片機(jī)會處于復(fù)位狀態(tài),程序不運(yùn)行。標(biāo)準(zhǔn)處理是 RST與VCC接一個(gè)10uF的電容,與GND接一個(gè)8.2K的電阻,如此接線在上電時(shí)會產(chǎn)生一個(gè)正脈沖以使單片機(jī)復(fù)位運(yùn)行。復(fù)位引腳RST通過一個(gè)斯密特觸發(fā)器與復(fù)位電路相連,斯密特觸發(fā)器用來抑制噪聲,在每個(gè)機(jī)器周期的S5P2,斯密特觸發(fā)器的輸出電平由復(fù)位電路采樣一次,然后才能得到內(nèi)部復(fù)位操作所需要的信號。 復(fù)位電路通常采用上電自動復(fù)位和按鈕復(fù)位兩種方式。該設(shè)計(jì)采用加電直接復(fù)位,復(fù)位電容采用10uF,電阻10000歐,為了節(jié)省元件,沒有采用上電加按鍵模式。加電瞬間,RES管腳為高電平。通過電阻回路放電,使電壓逐漸降為零,從而實(shí)現(xiàn)了復(fù)位功能。其連接圖如下圖所示:圖3-2 復(fù)位電路3.3、擴(kuò)展ROM電路設(shè)計(jì)CS-51單片機(jī)程序存儲器的尋址空間為64KB,對于80518751片內(nèi)程序存儲器為4KB的ROM或EPROM,在單片機(jī)的應(yīng)用系統(tǒng)中、片內(nèi)的存儲容量往往不夠,特別是8031,片內(nèi)沒有程序存儲器,必須外擴(kuò)程序存儲器。在該設(shè)計(jì)中,匯編程序比較長,需要外擴(kuò)ROM。在選擇程序存儲器芯片時(shí),首先滿足程序容量,其次在價(jià)格合理情況下盡量選用容量大的芯片。芯片少,接線簡單,芯片儲存容量大,程序調(diào)整余量大。另外,能用一片8KBEPROM2764則不要選2片2732(4KB),多一個(gè)芯片接線就復(fù)雜許多,且功耗增多,盡量減少擴(kuò)展芯片個(gè)數(shù)使電路結(jié)構(gòu)簡單,提高可靠性。圖中74LS373是帶三態(tài)緩沖輸出的8D鎖存器,接在P0口用來鎖存低八位地址。2732共12根地址線AOAll(212=4096B=4KB),低8位A0A7通過74LS373與P0接口連接,高四位A8A11直接與P2接口的P2.0P2.3連接,P2接口有鎖存功能。2732數(shù)據(jù)線D0D7共8位直接與P0接口的P0.0P0.7相連。P0接口兼做數(shù)據(jù)線和低8位地址線。CPU對擴(kuò)展芯片的控制通過控制線實(shí)現(xiàn)。圖中地址鎖存器74LS373的G端與8031的地址鎖存允許端ALE連接。ALE作鎖存擴(kuò)展地址低位字節(jié)的控制端。2732的輸出使能端與8031的(讀選通信號)端連接,在訪問片外程序存儲器時(shí),只要此端出現(xiàn)負(fù)脈沖,即可從2732中讀出程序。因?yàn)閮H擴(kuò)展一個(gè)芯片,片選端 接地即可,端有低電平表示該芯片被選中。若擴(kuò)展多片時(shí)還需要專門的片選電路。圖3-3 擴(kuò)展ROM電路第四章 系統(tǒng)軟件設(shè)計(jì)4.1、主程序模塊的設(shè)計(jì)主程序流程圖如下圖A所示:該交通信號燈控制系統(tǒng)的四中工作狀態(tài)(南北方向的交通燈為例):(1)南北方向A車道紅燈亮,東西方向B車道綠燈亮。表示南北方向A車道上的車輛禁止通行,東西方向B車道允許通行。綠燈亮足規(guī)定的時(shí)間隔時(shí),控制器發(fā)出狀態(tài)信號,轉(zhuǎn)到下一工作狀態(tài)。(2)南北方向A車道紅燈亮,東西方向B車道黃燈亮。表示東西方向B車道上未過停車線的車輛停止通行,已過停車線的車輛繼續(xù)通行,南北方向A車道禁止通行。黃燈亮足規(guī)定時(shí)間間隔時(shí),控制器發(fā)出狀態(tài)轉(zhuǎn)換信號,轉(zhuǎn)到下一工作狀態(tài)。(3)南北方向A車道綠燈亮,東西方向B車道紅燈亮。表示南北方向A車道允許通行,東西方向B車道上的車輛禁止通行,綠燈亮足規(guī)定的時(shí)間間隔時(shí),控制器發(fā)出狀態(tài)轉(zhuǎn)換信號,轉(zhuǎn)到下一工作狀態(tài)。(4)南北方向A車道黃燈亮,東西方向B車道紅燈亮。表示東西方向B車道禁止通行,南北方向A車道上位過限停車線的車輛停止通行,已過停車線的車輛繼續(xù)通行。黃燈亮足規(guī)定的時(shí)間間隔時(shí),控制器發(fā)出狀態(tài)轉(zhuǎn)換信號,系統(tǒng)又轉(zhuǎn)換到第(1)種工作狀態(tài)??刂茻舫绦蛄鞒虉D如下:圖4-1 控制交通燈燈程序流程圖4.2、中斷子程序模塊的設(shè)計(jì)根據(jù)硬件電路原理圖,并按系統(tǒng)的功能畫出程序流程。由于此系統(tǒng)較為簡單,故采用自上而下的設(shè)計(jì)方法,進(jìn)行程序設(shè)計(jì);對緊急車輛通過的處理,則采用中斷的方法,由中斷處理程序處理。說明:P1.6=0,表示A道有車通過;P1.6=1,表示A道無車通過。設(shè)計(jì)中斷處理程序時(shí),最重要的地方是如何保護(hù)進(jìn)入中斷前的狀態(tài)(信號燈、P口、單片機(jī)寄存器的狀態(tài)),使得中斷程序執(zhí)行完畢后能回到交通燈中斷前的狀態(tài)。除了保護(hù)累加器ACC、標(biāo)志寄存器PSW外,還要注意主程序和中斷處理程序中的延時(shí)程序不能混用。斷點(diǎn)入棧保護(hù)狀態(tài)參數(shù)設(shè)置送狀態(tài)字至端口狀態(tài)響應(yīng)斷點(diǎn)返回開始圖4-2 中斷子程序流程圖第五章 系統(tǒng)仿真5.1、keil編程與調(diào)試如硬件系統(tǒng)圖所示接線,圖中,在十字路口的紅,黃,綠交通燈中A道的兩組同色燈連在起,B上的也互聯(lián),受MCS-51的P1.0-P 1.5控制.緊急車輛請求通過時(shí)由人工控制,以中斷方式輸入單片機(jī)。打開WAVE6000集成調(diào)試環(huán)境,把已經(jīng)編好的程序輸入,保存為“jiaotongdeng.asm”。選擇菜單文件新建項(xiàng)目功能,加入模塊文件和保存文件,然后保存項(xiàng)目,注意要輸出HEX文件。設(shè)置好后,編譯程序無誤后調(diào)試執(zhí)行程序,結(jié)果顯示符合要求。圖5-1 keil編程與調(diào)試5.2、proteus系統(tǒng)總體仿真系統(tǒng)設(shè)計(jì)功能:復(fù)雜的十字路口交通信號燈控制系統(tǒng),分東西道和南北道,設(shè)東西道為A道,南北道為B道。系統(tǒng)設(shè)計(jì)規(guī)定:A道放行時(shí)間為60s,B道放行時(shí)間為60s;綠燈放行,紅燈停止;綠燈轉(zhuǎn)紅燈時(shí),相應(yīng)道路的黃燈亮2s。當(dāng)一道有車而另一道無車時(shí),交通燈控制系統(tǒng)能立即讓有車的車道放行。5.2.1、正常工作模式下的仿真根據(jù)功能要求分別仿真各種情況下的亮燈情況,在單片機(jī)仿真軟件Proteus.Professional.7.1.SP2中進(jìn)行仿真,在初始狀態(tài)下的情況如圖5-1所示具體如下:如下圖仿真圖所示,正常情況下南北方向亮綠燈,東西方向亮紅燈。南北放行,東西禁行:圖5-2 起始狀態(tài)(A道通行)60s后,如下所示南北方向亮黃燈2秒,東西方向亮紅燈:圖5-2 中間狀態(tài)(A道黃燈)隨后60s,南北方向亮綠燈,東西方向亮紅燈。南北放行,東西禁行。系統(tǒng)完成一次循環(huán)。在正常工作模式中,還可能出現(xiàn)如下情況。例如,當(dāng)B道沒有車通過,A道有車在等待卻在紅燈的情況時(shí),傳感器ca會給P1.6一個(gè)低電平,傳感器cb給P1.7一個(gè)高電平。此時(shí)B道原來的綠燈變?yōu)辄S燈,A道仍為紅燈。圖5-3 B道無車狀態(tài)(黃燈)B道的黃燈保持2s后,B道紅燈點(diǎn)亮。此時(shí)A道綠燈亮,在A道等待的車輛開始通行。采用這種方法,顯著提高了道路的通行效率。圖5-4 A道提前通行狀態(tài)5.2.2、緊急車輛通過模式下的仿真當(dāng)有緊急車輛(如110、112、119等急救車)要求通過時(shí),此系統(tǒng)應(yīng)能禁止普通車輛通行,路口的信號燈全部變紅,以便讓緊急車輛通過。假定緊急車輛通過時(shí)間為5s,緊急車輛通過后,交通燈恢復(fù)先前狀態(tài)。用中斷方式實(shí)現(xiàn)緊急車輛通過時(shí)的控制。當(dāng)有當(dāng)有緊急車輛要求通過時(shí),緊急車輛控制開關(guān)閉合,INT0引腳收到一個(gè)低電平,向CPU發(fā)出中斷請求信號,CPU開始執(zhí)行中斷子程序。在緊急車輛通過模式下,原來亮綠燈的道路開始亮黃燈2s,然后所有道路開始亮紅燈。圖5-5 緊急通行中間狀態(tài)圖5-6 緊急中間狀態(tài)該中斷工作模式除了在正常模式的黃燈時(shí)刻不能進(jìn)如以外,其它情況下均可以立即開始中斷。這是為了防止在實(shí)際情況下因?yàn)樾盘柌幻鞫赡艹霈F(xiàn)的交通混亂。第六章 課程設(shè)計(jì)心得體會首先,從設(shè)計(jì)層面來講。整個(gè)設(shè)計(jì)滿足了任務(wù)的要求,即完成了四個(gè)狀態(tài)的轉(zhuǎn)換,所以這個(gè)系統(tǒng)的設(shè)計(jì)足以控制十字路口的交通燈正常變換,保證各道車輛的暢通運(yùn)行。在單片機(jī)仿真軟件Proteus.Professional.7.1.SP2上通過畫外部接線圖,裝載程序等步驟進(jìn)行了仿真,最終仿真結(jié)果能按照我們設(shè)計(jì)的四種狀態(tài)依次循環(huán)的進(jìn)行運(yùn)行,當(dāng)按下復(fù)位鍵時(shí)其重新開始仿真,當(dāng)按下應(yīng)急鍵時(shí)南北東西的紅燈全亮,禁止車輛運(yùn)行,仿真結(jié)果令人滿意,真讓人有種苦盡甘來的感覺。另外,該設(shè)計(jì)還能極大地提高道路利用效率,緩解城市交通擁堵現(xiàn)象。本次課程設(shè)計(jì)的過程是艱辛的,不過收獲卻是很大的。在設(shè)計(jì)過程中,出現(xiàn)了好多意想不到的問題,有一些是常見的小問題,如:代碼中標(biāo)點(diǎn)符號的使用并不是在英語書寫狀態(tài)下,輸入字母出錯(cuò)等,在調(diào)試時(shí)出現(xiàn)異常,不過這些都是常識性錯(cuò)誤,經(jīng)過調(diào)試修改都一一解決,程序順利完成,并實(shí)現(xiàn)了其功能。綜合課程設(shè)計(jì)讓我把以前學(xué)習(xí)到的知識得到鞏固和進(jìn)一步的提高認(rèn)識,對已有知識有了更進(jìn)一步的理解和認(rèn)識。在此,由于自身能力有限,在課程設(shè)計(jì)中碰到了很多的問題,但通過查閱相關(guān)書籍、資料以及和周圍同學(xué)交流后都得以一一解決。由于使用的是單片機(jī)作為核心的控制元件,使得電路的可靠性比較高,功能也比較強(qiáng)大,而且可以隨時(shí)的更新系統(tǒng),進(jìn)行不同狀態(tài)的組合。但是在我們設(shè)計(jì)和調(diào)試的過程中,也發(fā)現(xiàn)了一些問題,譬如紅燈和綠燈的切換還不夠迅速,紅綠燈規(guī)則效率還不是很高等等,這需要在實(shí)踐中進(jìn)一步完善。當(dāng)然,通過這次課程設(shè)計(jì),我也發(fā)現(xiàn)了自身的很多不足之處,在以后的學(xué)習(xí)中,我會不斷的完善自我,不斷進(jìn)取,能使自己在單片機(jī)編程這方面有一個(gè)大的發(fā)展。單片機(jī)為我們的主要專業(yè)課之一,對我們還是很有幫助的,課程設(shè)計(jì)也是為我們以后的工作提前進(jìn)行了一次練手,也是我們學(xué)習(xí)生活之中少有的一次自己探索、研究,發(fā)現(xiàn)問題、解決問題的機(jī)會。當(dāng)然要做好一個(gè)課程設(shè)計(jì)也不是很簡單的,我認(rèn)為有以下幾步:(1)在設(shè)計(jì)程序之前,對所用單片機(jī)的內(nèi)部結(jié)構(gòu)有一個(gè)系統(tǒng)的了解,會減少設(shè)計(jì)過程中的錯(cuò)誤,加快設(shè)計(jì)速度;(2)在設(shè)計(jì)時(shí)心中要有一個(gè)大體的思路,不能天馬行空,過度隨意,這樣后期會有很大問題;(3)在設(shè)計(jì)程序時(shí),不能妄想一次就將整個(gè)程序設(shè)計(jì)好,反復(fù)修改、不斷改進(jìn)是程序設(shè)計(jì)的必經(jīng)之路;(4)要養(yǎng)成注釋程序的好習(xí)慣,寫程序是應(yīng)該思路清晰,結(jié)構(gòu)明了,方便資料的保存和交流;(5)我們們應(yīng)該將每次遇到的問題記錄下來,并分析清楚,以免下次再碰到同樣的問題的;(6)最后也是最重要的一點(diǎn),務(wù)必將設(shè)計(jì)過程中的材料、心得、以及設(shè)計(jì)報(bào)告的每一份草稿完完全全地保存下來,否則一旦丟失,損失會非常巨大!通過這次課程設(shè)計(jì)使我更加懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正將一個(gè)東西學(xué)好學(xué)透,從而提高自己的實(shí)際動手能力和獨(dú)立思考的能力。在實(shí)踐中,可以發(fā)現(xiàn)許多的問題,獲得的經(jīng)驗(yàn)和教訓(xùn),這對于我今后的學(xué)習(xí)生活和工作都會是有很大幫助的!參考文獻(xiàn)1董亮,朱磊,何鵬. MCS-51單片機(jī)課程設(shè)計(jì)的研究J. 實(shí)驗(yàn)室科學(xué),2008,04:56-57.2劉泰康. EPROM系列簡介J. 微型機(jī)與應(yīng)用,1987,05:58-60.3廖善榕. 用EPROM設(shè)計(jì)數(shù)字電路J. 電子技術(shù)應(yīng)用,1990,08:17-19.4杜洪林,周紹平. 51系列單片機(jī)中斷方法分析與應(yīng)用J. 廣西輕工業(yè),2009,03:44-46.5馮立杰,劉長泉. 談8031單片機(jī)中斷系統(tǒng)的增強(qiáng)方法J. 武警工程學(xué)院學(xué)報(bào),2000,02:28-29+39.6寧成軍,張江霞. 基于Proteus和Keil接口的單片機(jī)外圍硬件電路仿真J. 現(xiàn)代電子技術(shù),2006,18:142-143+146.附錄源程序代碼 ORG0000H LJMPSTART;主程序入口 ORG0003HLJMPTOINT ;中斷服務(wù)程序入口ORG1000HSTART:SETBIE.0SETBIP.0CLRTCON.0MOVSP,#30H;*注意重設(shè)堆棧指針ENTER:CLREA;黃燈亮?xí)r禁止中斷MOVR4,#30;設(shè)置A道總延時(shí)時(shí)間30*DELAYMOVP1,#11110011B;A道亮綠燈,B道亮紅燈CLRF0;此時(shí)用戶標(biāo)志位F0為零SETBEA ;允許總中斷SETBEX0 ;允許外部中斷0!LOOP1:ACALLDELAYDJNZR4,PA1AJMPLL1PA1:MOVC,P1.6;判斷A道是否有車輛通過JNCLOOP1MOVC,P1.7JCLOOP1LL1:MOVP1,#11110101B;A道亮黃燈,B道亮紅燈ACALLDELAYCLREA;黃燈亮?xí)r禁止中斷MOVR5,#30;設(shè)置B道總延時(shí)時(shí)間30*DELAYMOVP1,#11011110B;A道亮紅燈,B道亮綠燈SETBF0;此時(shí)用戶標(biāo)志位F0為一SETBEA;允許總中斷(允許外部中斷0)LOOP2:ACALLDELAYDJNZR5,PB1AJMPLL2PB1:MOVC,P1.7;判斷A道是否有車輛通過JNCLOOP2MOVC,P1.6JCLOOP2LL2:MOVP1,#11101110BACALLDELAYAJMPENTERDELAY:MOVR1,#10;延時(shí)1s子程序,總時(shí)間R1*R2*R3*2機(jī)器周期MM1:MOVR2,#200MM2:MOVR3,#250MM3:DJNZR3,MM3DJNZR2,MM2DJNZR1,MM1RETTOINT:PUSHP1;儲存P1口狀態(tài)PUSHACCPUSHPSWMOVC,F0JNCPA;用戶標(biāo)志位F0為一SETBP1.5CLRP1.4ACALLDELAYMOVP1,#11110110B;A道亮紅燈,B道亮紅燈SJMPLOOPPA:SETBP1.2;用戶標(biāo)志位F0為零CLRP1.1ACALLDELAYMOVP1,#11110110B;A道亮紅燈,B道亮紅燈LOOP:MOVC,P3.2JNCLOOPMOVC,F0JCLBLA:MOVP1,#11110011B;A道亮綠燈,B道亮紅燈POPPSWPOPACCPOPP1RETILB:MOVP1,#11011110B;A道亮紅燈,B道亮綠燈POPPSWPOPACCPOPP1RETIEND.

注意事項(xiàng)

本文(十字路口交通燈控制系統(tǒng)設(shè)計(jì)報(bào)告)為本站會員(y****3)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!