九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)字電路教案.doc

  • 資源ID:1540736       資源大小:405KB        全文頁數(shù):37頁
  • 資源格式: DOC        下載積分:32積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要32積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

數(shù)字電路教案.doc

_ 第 1 次授課題目(教學章、節(jié)或主題):緒論;1數(shù)字邏輯基礎;0引言;1.1 模擬信號與數(shù)字信號;1.2 數(shù)字電路;1.3數(shù)制;1.4 二進制碼。課時安排2學時授課時間 8.29教學目的、要求(分掌握、熟悉、了解三個層次):1.了解本門課程的基本內(nèi)容;2. 了解數(shù)字信號與數(shù)字電路的基本概念、特點、應用、分類及學習方法;3. 了解數(shù)字信號的特點及表示方法;4.掌握二、八、十、十六進制的表示方法及相互轉換;5. 了解常用二進制碼,熟悉8421BCD碼、余三碼、格雷碼的意義及表示方法。教學內(nèi)容(包括基本內(nèi)容、重點、難點):基本內(nèi)容:0.緒論課程性質;課程任務;課程目標:課程特點;學習方法及要求;參考書;成績評定;作業(yè);答疑。1.1模擬信號和數(shù)字信號數(shù)字信號的主要參數(shù)1.2 數(shù)字電路1.數(shù)字信號與數(shù)字電路;2.數(shù)字電路的特點;3.數(shù)字電路的應用。4.數(shù)字電路中的一些規(guī)定1.3數(shù)制1.幾種常用數(shù)制2.不同進制數(shù)的相互轉換(舉例)1.4二進制碼1.BCD碼2.碼制(舉例)小結與布置作業(yè)重點:1.二、十六進制及與十進制的相互轉換; 2. 8421碼、常用編碼。難點:各種數(shù)制之間的關系及相互轉換規(guī)律。討論、思考題、作業(yè):討論:離散信號;二、十、八、十六進制的特點及表示方法;碼的作用;8421BCD碼的特點及應用。復習(提問):什么是模擬信號、模擬電路?什么是數(shù)制?作業(yè): 1.3.3、1.3.4、1.3.5、1.3.6。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 9 分鐘,授新課 60 分鐘,安排討論 20 分鐘,布置作業(yè) 1 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 2 次授課題目(教學章、節(jié)或主題):1.5 基本邏輯運算1.6 邏輯函數(shù)與邏輯問題的描述課時安排2學時授課時間 9.1教學目的、要求(分掌握、熟悉、了解三個層次):1. 掌握基本邏輯運算; 2. 掌握邏輯問題的描述方法。教學內(nèi)容(包括基本內(nèi)容、重點、難點):基本內(nèi)容:1.5 基本邏輯運算1. 基本邏輯運算:與、或、非。2組合邏輯運算:與非、或非、與或非、異或、同或;1.6 邏輯函數(shù)與邏輯問題的描述1邏輯函數(shù)的定義2邏輯函數(shù)的表示方法及各種表示方法之間的轉換。 本章小結與布置作業(yè)重點:1.基本邏輯運算;2.邏輯函數(shù)的表示方法。難點:1.組合邏輯運算;2.邏輯函數(shù)各表示方法之間的轉換。討論、思考題、作業(yè):討論:異或運算、同或運算的邏輯功能。復習(提問):8421BCD碼、ASCII 碼。作業(yè):1.3.1、1.3.2、課外作業(yè)。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 9 分鐘,授新課 60 分鐘,安排討論 20 分鐘,布置作業(yè) 1 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 3 次授課題目(教學章、節(jié)或主題):2邏輯門電路2.1 二極管的開關特性;2.2 BJT的開關特性;2.3 基本邏輯門電路。課時安排2學時授課時間 9.19教學目的、要求(分掌握、熟悉、了解三個層次):1. 掌握二極管開關特性;2. 掌握三極管開關特性。3. 掌握二極管組成電路的邏輯功能;4.了解TTL反相器的電路結構和工作原理。教學內(nèi)容(包括基本內(nèi)容、重點、難點):基本內(nèi)容:2.1 二極管的開關特性;1. 二極管開關的靜態(tài)特性2. 二極管開關的動態(tài)特性3. 產(chǎn)生反向恢復過程的原因2.2 BJT的開關特性1. 三極管的三種工作狀態(tài)2. 三極管開關的動態(tài)特性2.3 基本邏輯門電路1. 二極管與門電路2. 二極管或門電路3. 非門電路 三極管反相器小結與布置作業(yè)重點:二極管、三極管的開關特性。難點:TTL反向器的電路結構和工作原理。討論、思考題、作業(yè):討論:二極管、三極管的工作特性。復習(提問):二極管、三極管的在何種情況下處于何種狀態(tài)?作業(yè):2.2.2、2.2.3。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 9 分鐘,授新課 60 分鐘,安排討論 20 分鐘,布置作業(yè) 1 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 4 次授課題目(教學章、節(jié)或主題):2.4 TTL邏輯門電路;2.6 NMOS邏輯門電路。課時安排2學時授課時間 9.22教學目的、要求(分掌握、熟悉、了解三個層次):1. 了解TTL反向器的電路結構和工作原理;掌握它的外特性; 2. 熟練掌握OC門、TSL門的邏輯符號及使用方法; 3. 掌握CMOS的外特性。會正確使用CMOS門電路;4. 掌握CMOS集成門電路的使用方法及注意事項;教學內(nèi)容(包括基本內(nèi)容、重點、難點):基本內(nèi)容: 2.4 TTL邏輯門電路1.基本的BJT反相器的動態(tài)性能2. TTL反相器的基本電路3. TTL反相器的傳輸特性4. TTL與非門電路 5. TTL與非門的技術參數(shù)6. TTL或非門、集電極開路門和三態(tài)門電路7. 改進型TTL門電路-抗飽和TTL電路2.6 CMOS邏輯門電路1. 復習MOS管的有關知識2. CMOS反相器 3. CMOS門電路 4. BiCMOS門電路5. CMOS傳輸門6. CMOS邏輯門電路的技術參數(shù)小結與布置作業(yè)重點:1.TTL反向器的外特性、電壓傳輸特性和輸入輸出特性、灌電流,拉電流、扇入與扇出數(shù)、噪聲容限等;2.OC門、TSL門;3.CMOS反相器的靜態(tài)輸入特性和輸出特性、CMOS反相器的動態(tài)特性。難點:1. 灌電流,拉電流; 2. OC門; 3. TTL、COMS門電路的優(yōu)缺點比較。討論、思考題、作業(yè):討論:二極管、三極管的工作特性。復習(提問):基本的邏輯運算。作業(yè):2. 4.2、2.4.3、2.4.5。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 9 分鐘,授新課 60 分鐘,安排討論 20 分鐘,布置作業(yè) 1 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 5 次授課題目(教學章、節(jié)或主題):實驗 門電路課時安排2學時授課時間9.26教學目的、要求(分掌握、熟悉、了解三個層次):1. 掌握各種基本門、復合門的邏輯功能,并驗證。教學內(nèi)容(包括基本內(nèi)容、重點、難點)儀器設備:1.邏輯學習機 LJ-1型 1臺2.示波器 GOS-620 1臺3.數(shù)字萬用表 1塊實驗內(nèi)容:1.與門的邏輯功能;2.非門的邏輯功能;3.用與非門組成的半加器。討論、思考題、作業(yè):課堂討論: 復習(提問): 作業(yè): 參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 75 分鐘,授新課 0 分鐘,安排討論 10 分鐘,布置作業(yè) 5 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 6 次授課題目(教學章、節(jié)或主題):2.7NMOS邏輯門電路;2.8正負邏輯問題;2.9 邏輯門電路使用中的幾個實際問題。課時安排2學時授課時間 9.29教學目的、要求(分掌握、熟悉、了解三個層次):1. 掌握傳輸門、三態(tài)門的功能; 2. 了解NMOS邏輯門電路的電路結構和工作原理; 3. 了解正負邏輯的概念及相互關系;4. 了解TTL與CMOS門的接口問題。教學內(nèi)容(包括基本內(nèi)容、重點、難點):基本內(nèi)容: 2.7NMOS邏輯門電路1. NMOS反相器2. NMOS與非門3. NMOS或非門2.8正負邏輯問題1. 正負邏輯規(guī)定2. 正負邏輯的等效變換2.9 邏輯門電路使用中的幾個實際問題1. TTL與CMOS門電路之間的接口技術:電平匹配問題、增加驅動電流問題2. TTL與CMOS門電路外接負載問題3. 抗干擾措施本章小結與布置作業(yè)重點:1. 傳輸門、三態(tài)門的功能;2. TTL與CMOS門的接口電路。難點:1.TTL集成門使用注意事項;2.接口電路。討論、思考題、作業(yè):討論:正負邏輯。復習(提問):OC門、TSL門。作業(yè):2.7.1、2.9.11。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 9 分鐘,授新課 60 分鐘,安排討論 20 分鐘,布置作業(yè) 1 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 7 次授課題目(教學章、節(jié)或主題):第3章 組合邏輯電路的分析與設計引言3.1 邏輯代數(shù) 課時安排2學時授課時間 10.10教學目的、要求(分掌握、熟悉、了解三個層次):1.理解并掌握邏輯代數(shù)的基本公式、基本定律和三個重要規(guī)則;2.理解化簡的意義和標準;3.掌握代數(shù)化簡的幾種基本方法并能熟練運用;教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:3.1 邏輯代數(shù)3.1.1邏輯代數(shù)的基本公式和基本定律:1.邏輯常量運算公式;2.邏輯變量、常量運算公式;3.普通代數(shù)相似的定律;4.吸收律;5.摩根定律。3.1.2 邏輯代數(shù)的三個重要規(guī)則:1.代入規(guī)則;2.反演規(guī)則;3.對偶規(guī)則。3.1.3 邏輯函數(shù)的公式化簡法:1. 化簡的意義與標準:化簡邏輯函數(shù)的意義;邏輯函數(shù)式的幾種常見形式和變換;邏輯函數(shù)的最簡與-或式 。2.邏輯函數(shù)的代數(shù)化簡法:并項法;吸收法;消去法;配項法。3. 代數(shù)化簡法舉例。小結與布置作業(yè)重點:1.基本公式和基本定律;2.三個重要規(guī)則;3. 5種常見的邏輯式;4.用并項法、吸收法、消去法、配項法對邏輯函數(shù)進行化簡;難點:1. 用代數(shù)法化簡邏輯函數(shù);討論、思考題、作業(yè):課堂討論:吸收律和摩根定律的證明;三個重要規(guī)則的驗證。復習(提問):與、或、非;與非、或非、同或、異或邏輯的運算口訣、邏輯符號。作業(yè):3.1.2、3.1.3。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 15 分鐘,授新課 40 分鐘,安排討論 30 分鐘,布置作業(yè) 1 分鐘,其他14(課堂組織)5(鞏固新課)9分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 8次授課題目(教學章、節(jié)或主題):3.2 邏輯函數(shù)的卡諾圖化簡法;課時安排2學時授課時間 10.13教學目的、要求(分掌握、熟悉、了解三個層次):1.熟練運用卡諾圖化簡邏輯函數(shù)。教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:3.2.3 用卡諾圖表示邏輯函數(shù):1.邏輯函數(shù)的標準與-或式;2.用卡諾圖表示邏輯函數(shù):已知邏輯函數(shù)式為標準與-或式,畫邏輯函數(shù)卡諾圖。已知邏輯函數(shù)真值表,畫邏輯函數(shù)卡諾圖;邏輯函數(shù)為一般表達式時,畫邏輯函數(shù)卡諾圖。3.2.4 用卡諾圖化簡邏輯函數(shù)。3.2.5具有無關項的邏輯函數(shù)的化簡。1.邏輯函數(shù)中的無關項;2.利用無關項化簡邏輯函數(shù)。 小結與布置作業(yè)重點:1.用卡諾圖表示邏輯函數(shù)。難點:1.用卡諾圖化簡邏輯函數(shù)以及具有無關項的邏輯函數(shù)的化簡。 討論、思考題、作業(yè):課堂討論:給油泵灌油的實際例子,引出無關項的定義。復習(提問):1.描述邏輯功能的方法主要有?(邏輯表達式、真值表、卡諾圖和邏輯圖等。)2.各種表示法之間的相互轉換?作業(yè):3.2.2。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 5 分鐘,授新課 80 分鐘,安排討論 5 分鐘,布置作業(yè) 2 分鐘,其他8(課堂組織)5(鞏固新課)3分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 9次授課題目(教學章、節(jié)或主題):實驗二 組合邏輯電路的設計課時安排2學時授課時間10.17教學目的、要求(分掌握、熟悉、了解三個層次):1.掌握組合邏輯電路的設計方法,并用邏輯元件設計符合一定邏輯關系的電路;2.學會測試使用中規(guī)模集成電路的組合邏輯電路的化簡、變換等。教學內(nèi)容(包括基本內(nèi)容、重點、難點):預習要求: 1.復習組合邏輯電路和設計方法,設計出要求的電路;2.復習邏輯函數(shù)的化簡及形式變換方法。儀器設備:1.邏輯學習機 LJ-1型 1臺2.示波器 GOS-620 1臺3.數(shù)字萬用表 1塊實驗內(nèi)容:1.設計一個三人表決電路;2.設計一個優(yōu)先權排隊電路;3.有能力的學生設計一個四輸入端、三輸出端比較電路,并對兩位二進制數(shù)進行比較。討論、思考題、作業(yè):課堂討論:復習(提問): 作業(yè): 參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 0 分鐘,授新課 0 分鐘,安排討論 0 分鐘,布置作業(yè) 0 分鐘,其他 0 分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第10 次授課題目(教學章、節(jié)或主題):3.3組合邏輯電路的分析方法;3.4 組合邏輯電路的設計;3.5 組合邏輯電路中的競爭冒險課時安排2學時授課時間 10.20教學目的、要求(分掌握、熟悉、了解三個層次):1.掌握組合邏輯電路的定義、特點和研究重點、功能描述;2.掌握組合電路的分析方法。掌握組合邏輯電路的設計方法;3.了解組合邏輯電路的競爭-冒險現(xiàn)象產(chǎn)生原因和基本消除措施。教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:3.3組合邏輯電路的分析:1.組合邏輯電路:定義;構成電路特點。2.基本分析方法:分析:給定邏輯電路,求電路的邏輯功能。步驟。3.分析舉例。4.歸納總結。3.4 組合邏輯電路的設計1. 組合邏輯電路的設計步驟2. 設計舉例3.5 組合邏輯電路中的競爭冒險1. 產(chǎn)生競爭冒險的原因2. 消去競爭冒險的方法小結與布置作業(yè)重點:1.組合電路的分析方法;2.組合電路的設計方法。難點:1. 組合電路的分析方法;2. 設計中的邏輯抽象問題。討論、思考題、作業(yè):課堂討論:生活中組合電路的實例(電子密碼鎖,銀行取款機等),生活中組合電路的實例邏輯抽象。復習(提問):用卡諾圖化簡邏輯函數(shù)規(guī)則。作業(yè):3.3.2、3.3.4、3.4.1、3.4.3。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 5 分鐘,授新課 80 分鐘,安排討論 5 分鐘,布置作業(yè) 2 分鐘,其他8(課堂組織)5(鞏固新課)3分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 11次授課題目(教學章、節(jié)或主題):習題課課時安排2學時授課時間10.24教學目的、要求(分掌握、熟悉、了解三個層次):1.鞏固組合邏輯電路的重點。2.加強練習,提高學生的分析與設計能力教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:1.歸納全章重點內(nèi)容及注意事項;2.練習題;3. 小結與布置作業(yè);重點:1.組合邏輯電路的分析、設計及基本概念;難點:1.做題思路與方法。討論、思考題、作業(yè):課堂討論:組合邏輯電路的分析和設計步驟。復習(提問):組合邏輯電路的分析、設計及基本概念。作業(yè):課外習題。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 75 分鐘,授新課 0 分鐘,安排討論 10 分鐘,布置作業(yè) 5 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 12 次授課題目(教學章、節(jié)或主題):第4章常用組合邏輯功能器件4.1編碼器;4.2譯碼器/數(shù)據(jù)分配器。課時安排2學時授課時間 10.24教學目的、要求(分掌握、熟悉、了解三個層次):1掌握編碼、編碼器、優(yōu)先編碼的概念;2了解二進制編碼器的邏輯功能、設計方法;3掌握優(yōu)先編碼器MSI 器件74148的邏輯功能;4.掌握組合邏輯電路的特點及分析方法,會正確使用編碼器;5.掌握譯碼原理、譯碼器的設計方法;6.掌握MSI 器件74LS138的功能、使用、功能擴展、邏輯符號;教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:4.1編碼器1.編碼;2.編碼器;3.編碼原則;4.二進制編碼器:定義;邏輯電路圖;輸出邏輯函數(shù);列真值表;分析;5.二一十進制編碼器:定義;邏輯電路圖;輸出邏輯函數(shù);列真值表;分析;6.優(yōu)先編碼器:定義;MSI器件:二十進制優(yōu)先編碼器74148:)真值表;)邏輯功能分析。4.2譯碼器/數(shù)據(jù)分配器1.譯碼;2.譯碼器;3.譯碼原則 ;4.譯碼器:(1)二進制譯碼器:二進制譯碼器;譯碼器CT74LS138:(a)邏輯圖;(b)真值表;(c)邏輯功能;(d)全譯碼器;(e)功能擴展。小結與布置作業(yè)重點:1.掌握編碼、編碼器、優(yōu)先編碼的概念;2.組合邏輯電路分析方法,編碼器的功能及使用;3.譯碼器集成電路的工作原理、功能表、引腳圖、使用方法。難點:1. 編碼器的片間級聯(lián); 2. 譯碼器的功能表。討論、思考題、作業(yè):課堂討論:一般編碼器輸入的編碼信號為什么是相互排斥的?復習(提問):1 編碼原則?2 為什么要用二進制編碼器?作業(yè):4.1.1、4.1.3。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 5 分鐘,授新課 80 分鐘,安排討論 5 分鐘,布置作業(yè) 0 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。 第 13 次授課題目(教學章、節(jié)或主題):4.2譯碼器/數(shù)據(jù)分配器課時安排2學時授課時間 10.31教學目的、要求(分掌握、熟悉、了解三個層次):1.掌握譯碼原理、譯碼器的設計方法;2.掌握MSI 器件74LS138的功能、使用、功能擴展、邏輯符號;3.掌握用譯碼器實現(xiàn)組合邏輯函數(shù)的方法;4.掌握七段半導體數(shù)碼顯示器的工作原理和使用方法;5.了解數(shù)據(jù)分配器的邏輯功能。 教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:4.2譯碼器/數(shù)據(jù)分配器(2)二十進制譯碼器:二一十進制譯碼器; 4線一10線譯碼器CT74LS42: (a)邏輯圖;(b)真值表;(c) 邏輯函數(shù)式;(d)功能變化。(3) 用譯碼器實現(xiàn)組合邏輯函數(shù): 實現(xiàn)原理;實例。5.數(shù)碼顯示譯碼器 :(1)七段數(shù)字顯示器: 七段半導體數(shù)碼顯示器;液晶顯示器。(2)七段顯示譯碼器:原理;MSI器件:4線7段譯碼器驅動器7448:(a)外引腳:輸入、輸出、控制端;(b)真值表(邏輯功能示意圖);(c)邏輯功能:消隱功能、數(shù)碼顯示;(d)輸出電流驅動能力;(3)七段顯示譯碼器的分類及與七段數(shù)碼顯示器的配合:七段顯示譯碼器的分類;配合;(4)數(shù)碼顯示譯碼器。6. 數(shù)據(jù)分配器:3線一8線譯碼器CT74LS138構成的8路數(shù)據(jù)分配器。小結與布置作業(yè)重點:1.譯碼器集成電路的工作原理、功能表、引腳圖、使用方法。難點:1.譯碼器作為數(shù)據(jù)分配器使用;用譯碼器實現(xiàn)組合邏輯函數(shù)。討論、思考題、作業(yè):課堂討論:1.日常生活中什么地方用到了譯碼器?2. 二進制譯碼器與顯示譯碼器有何區(qū)別?3. 常用顯示器件的應用場合?復習(提問):1.8位電話號碼供多少用戶使用?(電話號碼為十進制);2.邏輯函數(shù)的標準最小項之和式?作業(yè):4.2.3、4.2.5、4.2.9。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 5 分鐘,授新課 80 分鐘,安排討論 5 分鐘,布置作業(yè) 2 分鐘,其他8(課堂組織)5(鞏固新課)3分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 14次授課題目(教學章、節(jié)或主題):4.3數(shù)據(jù)選擇器;4.4數(shù)值比較器課時安排2學時授課時間 11.3教學目的、要求(分掌握、熟悉、了解三個層次):1.掌握四選一、八選一的邏輯功能,對應MSI器件的使用;2.掌握用數(shù)據(jù)選擇器實現(xiàn)組合函數(shù)的方法;3.掌握數(shù)值比較器的邏輯功能。教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:4.3數(shù)據(jù)選擇器1.4選1數(shù)據(jù)選擇器邏輯電路;真值表;輸出邏輯函數(shù)式。 2.8選1數(shù)據(jù)選擇器邏輯功能示意圖;真值表;輸出邏輯函數(shù)式。3.用數(shù)據(jù)選擇器實現(xiàn)組合邏輯函數(shù)當邏輯函數(shù)的變量個數(shù)和數(shù)據(jù)選擇器的地址輸入變量個數(shù)相同時:代數(shù)法、卡諾圖法。當邏輯函數(shù)的變量個數(shù)多于數(shù)據(jù)選擇器的地址輸入變量的個數(shù)。4.4數(shù)值比較器1.1位數(shù)值比較器數(shù)值比較的含義;真值表。2.多位數(shù)值比較器MSI器件;4位數(shù)值比較器74LS85。小結與布置作業(yè)重點:1.數(shù)據(jù)選擇器的邏輯功能及其實現(xiàn)組合函數(shù)的方法。2.數(shù)值比較器的基本概念。難點:1. 數(shù)值比較器的片間級聯(lián)。討論、思考題、作業(yè):課堂討論:實際:數(shù)字波段開關在儀表中的使用,信道復用分時傳送技術復習(提問):3線8線MSI譯碼器的邏輯功能?作業(yè):4.3.3、4.3.4、4.3.6、4.4.4。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 5 分鐘,授新課 70 分鐘,安排討論 10 分鐘,布置作業(yè) 5 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 15 次授課題目(教學章、節(jié)或主題):4.5算術運算電路課時安排2學時授課時間11.4教學目的、要求(分掌握、熟悉、了解三個層次):1.掌握半加器,全加器的邏輯功能、邏輯符號。2.了解多位加法器實現(xiàn)進位的兩種方法。3.了解MSI加法器74LS283。教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:4.5算術運算電路1.半加器含義;真值表;輸出邏輯函數(shù)式;邏輯電路;邏輯符號。2.全加器含義;真值表;Si和Ci的卡諾圖;邏輯函數(shù)表達式;邏輯圖;邏輯符號。3.多位加法器 含義;實現(xiàn)多位加法運算的電路,稱為加法器。進位方法:串行進位、超前進位加法器。 小結與布置作業(yè)重點:半加器、全加器、多位加法器的基本概念難點:進位方法。討論、思考題、作業(yè):課堂討論:多位二進制數(shù)如何比較大?。繌土暎ㄌ釂枺?.74LS151使用方法?2. 數(shù)值比較器的片間級聯(lián)?作業(yè):4.5.1、4.5.5、4.5.6。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 5 分鐘,授新課 70 分鐘,安排討論 10 分鐘,布置作業(yè) 5 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 16 次授課題目(教學章、節(jié)或主題):第5章觸發(fā)器5.1觸發(fā)器的電路結構與工作原理 課時安排2學時授課時間11.7教學目的、要求(分掌握、熟悉、了解三個層次):1.掌握時序電路的定義、分類、觸發(fā)器的特點;2.了解基本RS、同步RS觸發(fā)器的電路結構和工作原理,掌握其邏輯功能;3.了解主從、邊沿觸發(fā)器的電路結構,掌握其動作特點;教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:5.0 概述觸發(fā)器的概念、觸發(fā)器有三個基本特性;觸發(fā)器的兩個穩(wěn)定狀態(tài):1狀態(tài)、0狀態(tài);觸發(fā)器的邏輯功能描述;觸發(fā)器的分類。5.1 觸發(fā)器的電路結構與工作原理5.1.1 基本RS觸發(fā)器1.由與非門組成的基本RS觸發(fā)器:電路結構;邏輯功能;特性表。2.由或非門組成的基本RS觸發(fā)器5.1.2 同步觸發(fā)器1.同步RS觸發(fā)器:電路結構;邏輯功能;驅動表;特性方程;狀態(tài)轉換圖。2.同步D觸發(fā)器:電路結構;邏輯功能;驅動表;特性方程;狀態(tài)轉換圖。3.同步JK觸發(fā)器:電路結構;邏輯功能;驅動表;特性方程;狀態(tài)轉換圖。4.同步觸發(fā)器的空翻5.1.3 主從觸發(fā)器1.主從RS觸發(fā)器:電路結構;邏輯功能。2.主從JK觸發(fā)器:電路結構;邏輯功能。5.1.4 邊沿觸發(fā)器1. TTL邊沿JK觸發(fā)器:電路結構;邏輯功能;具有直接置0和置1端的邊沿JK觸發(fā)器。2.JK觸發(fā)器構成的T觸發(fā)器和T觸發(fā)器:JK觸發(fā)器T觸發(fā)器;JK觸發(fā)器T觸發(fā)器。3.維持阻塞D觸發(fā)器:電路結構;邏輯功能;具有直接置0和置1端的維持阻塞D觸發(fā)器。4.D觸發(fā)器構成的T觸發(fā)器和T觸發(fā)器:D觸發(fā)器T觸發(fā)器;D觸發(fā)器T觸發(fā)器。小結與布置作業(yè)重點:1.基本概念要正確建立;2.基本RS觸發(fā)器的邏輯功能、觸發(fā)方式;3 .D、JK觸發(fā)器的邏輯功能及其功能描述方法;4.觸發(fā)器的邏輯功能;5 觸發(fā)器的觸發(fā)方式。難點:現(xiàn)態(tài)、次態(tài)、不定狀態(tài)的正確理解。討論、思考題、作業(yè):課堂討論:1.D 觸發(fā)器的特性方程中沒有出現(xiàn)Q n,那么它是時序電路嗎? 復習(提問):1.組合電路的定義?構成其電路的門電路有何特點?2.組合電路與時序電路的區(qū)別? 作業(yè):5.1.3、5.1.8。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 5 分鐘,授新課 70 分鐘,安排討論 10 分鐘,布置作業(yè) 5 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 17次授課題目(教學章、節(jié)或主題):5.2 觸發(fā)器的功能;5.3 觸發(fā)器的脈沖工作特性及主要參數(shù)課時安排2學時授課時間11.10教學目的、要求(分掌握、熟悉、了解三個層次):1. 熟練掌握觸發(fā)器的邏輯功能及描述方法;2. 熟練掌握RS、JK、D、T觸發(fā)器的特性方程、狀態(tài)轉換圖、特性表、功能及功能描述。3.了解RS、JK、D、T、T觸發(fā)器的特點及存在問題教學內(nèi)容(包括基本內(nèi)容、重點、難點)基本內(nèi)容:5.2 觸發(fā)器的功能1.觸發(fā)器的邏輯功能及描述方法;2.RS、JK、D、T觸發(fā)器的特性方程、狀態(tài)轉換圖和特性表。3. 不同類型的觸發(fā)器之間轉換。4. 集成觸發(fā)器5.3 觸發(fā)器的脈沖工作特性及主要參數(shù)小結與布置作業(yè)重點:1. RS、JK、D、T、T觸發(fā)器的功能及功能描述。難點:1. RS、JK、D、T、T觸發(fā)器的功能特點及其存在問題。討論、思考題、作業(yè):課堂討論:1. 注意集成觸發(fā)器的使用注意事項。復習(提問): 1. 基本RS、同步RS觸發(fā)器其邏輯功能。作業(yè):5.2.3、5.2.8、5.2.9。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 5 分鐘,授新課 70 分鐘,安排討論 10 分鐘,布置作業(yè) 5 分鐘,其他10(課堂組織)5(鞏固新課)5分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 18 次授課題目(教學章、節(jié)或主題):實驗 觸發(fā)器課時安排2學時授課時間11.14教學目的、要求(分掌握、熟悉、了解三個層次):1.熟悉各種觸發(fā)器的工作原理,基本特性及測試方法;2.掌握幾種常用的觸發(fā)器之間相互轉換的方法。教學內(nèi)容(包括基本內(nèi)容、重點、難點):預習要求: 1. 復習各種觸發(fā)器的工作原理和不同結構形式觸發(fā)器的觸發(fā)方式、工作原理;2. 了解各種觸發(fā)器的使用注意事項;3. 復習各種觸發(fā)器之間相互轉換的設計方法。儀器設備:1.邏輯學習機 LJ-1型 1臺2.示波器 GOS-620 1臺3.數(shù)字萬用表 1塊實驗內(nèi)容:1.用與非門接成基本R-S觸發(fā)器;2.同步R-S觸發(fā)器;3.集成D觸發(fā)器邏輯功能測試;4.集成J-K吃飯邏輯功能測試;5.觸發(fā)器之間的邏輯功能轉換。討論、思考題、作業(yè):課堂討論: 復習(提問): 作業(yè): 參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 分鐘,授新課 分鐘,安排討論 分鐘,布置作業(yè) 分鐘,其他 分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 19 次授課題目(教學章、節(jié)或主題):6時序邏輯電路的分析與設計6.0概述6.1 時序邏輯電路的基本概念;6.2時序邏輯電路的分析方法課時安排2學時授課時間11.17教學目的、要求(分掌握、熟悉、了解三個層次):1.了解時序邏輯電路在邏輯功能及其描述方法和電路結構上的特點。2.掌握組合邏輯電路和時序邏輯電路的區(qū)別,掌握時序電路的特點、分類和功能描述;3. 掌握時序邏輯電路的分析方法。教學內(nèi)容(包括基本內(nèi)容、重點、難點):基本內(nèi)容: 6.0概述時序邏輯電路的定義、構成和電路特點。6. 1組合邏輯電路的基本概念1.時序電路的特點;2.時序電路的分類;3.時序電路的功能描述。6.2時序邏輯電路的分析方法1.分析時序邏輯電路的一般步驟。分析:給定邏輯電路,求電路的邏輯功能。2.分析舉例小結與布置作業(yè)重點:時序電路的特點、分類、功能描述、時序電路的分析方法。難點:時序電路的分析方法討論、思考題、作業(yè):課堂討論:1.時序邏輯電路的邏輯功能。復習(提問):1.描述組合邏輯電路邏輯功能的方法主要有?(邏輯表達式、真值表、卡諾圖和邏輯圖等。)作業(yè):6.2.1、6.2.6。參考資料(含參考書、文獻等):1. 閻石數(shù)字電子技術基礎(第四版)北京:高等教育出版社20022. 楊素行數(shù)字電子技術基礎簡明教程(第二版)北京:高等教育出版社19983. 鄭家龍集成電子技術基礎教程北京:高等教育出版社20024. 唐竟新數(shù)字電子技術基礎解題指南北京:清華大學出版社1998教學過程設計:復習 5 分鐘,授新課 80 分鐘,安排討論 5 分鐘,布置作業(yè) 2 分鐘,其他8(課堂組織)5(鞏固新課)3分鐘授課類型(請打):理論課 討論課 實驗課 練習課 上機 其他 教學方式(請打):講授 討論 示教 指導 其他教學資源(請打):多媒體 模型 實物 掛圖 音像 其他填表說明:1、各欄目填寫內(nèi)容較多時,可附頁;2、教學內(nèi)容與討論、思考題、作業(yè)部分可合二為一。第 20次授課題目(教學章、節(jié)或主題):6.2時序邏輯電路的分析方法;課時安排2學時授課時間11.21教學目的、要求(分掌握、熟悉、了解三個層次):1. 掌握時序邏輯電路的分析方法。教學內(nèi)容(包括基本內(nèi)容、重點、難點):基本內(nèi)容: 6.2時序邏輯電路的分析方法1.分析時序邏輯電路的一般步驟。分析:給定邏輯電路,求電路的邏輯

注意事項

本文(數(shù)字電路教案.doc)為本站會員(最***)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復下載不扣分。




關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!