九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

EDA 設(shè)計(jì)基于VHDL的簡(jiǎn)易全自動(dòng)控制洗衣機(jī)

  • 資源ID:155512694       資源大?。?span id="24d9guoke414" class="font-tahoma">614KB        全文頁(yè)數(shù):17頁(yè)
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

EDA 設(shè)計(jì)基于VHDL的簡(jiǎn)易全自動(dòng)控制洗衣機(jī)

信工073 余海軍 10079006華東理工大學(xué)2009 -2010 學(xué)年第2學(xué)期電子綜合設(shè)計(jì)DEA課程設(shè)計(jì)作業(yè) 2010.6 班級(jí):XXXX 學(xué)號(hào): XXX 姓名:XXXX開課學(xué)院:信息學(xué)院 任課老師: XXXX 成績(jī): 題目:1、簡(jiǎn)易全自動(dòng)洗衣機(jī)控制器設(shè)計(jì) 2、通信系統(tǒng)信道編碼器設(shè)計(jì)作業(yè)要求:電子系統(tǒng)設(shè)計(jì)EDA課程是電子信息工程、自動(dòng)控制、計(jì)算機(jī)科學(xué)與工程等專業(yè)的技術(shù)課之一,具有很強(qiáng)的工程實(shí)踐性。課程學(xué)習(xí)要求學(xué)生:掌握現(xiàn)代硬件數(shù)字電路的軟件化設(shè)計(jì)的基本方法、掌握應(yīng)用VHDL及EDA工具開發(fā)設(shè)計(jì)數(shù)字系統(tǒng)的基本方法以及對(duì)現(xiàn)代電子系統(tǒng)設(shè)計(jì)技術(shù)有一定的了解 設(shè)計(jì)報(bào)告要求:1、按照設(shè)計(jì)題目要求構(gòu)建設(shè)計(jì)框圖 2、用EDA設(shè)計(jì)軟件按照設(shè)計(jì)題目要求進(jìn)行原型設(shè)計(jì)并給出仿真結(jié)果 3、對(duì)仿真結(jié)果進(jìn)行一定的討論 4、原程序和仿真波形等附錄。教師評(píng)語(yǔ): 教師簽名: 年 月 日電子綜合設(shè)計(jì)EDA綜合設(shè)計(jì)題設(shè)計(jì)一簡(jiǎn)易全自動(dòng)洗衣機(jī)控制器。該控制器由兩大狀態(tài)A和B組成,每個(gè)狀態(tài)分三個(gè)子狀態(tài),每個(gè)狀態(tài)分別由選擇A和選擇B控制。其中A為步進(jìn)選擇按紐,每步跳轉(zhuǎn)一個(gè)子狀態(tài)、B也為步進(jìn)選擇按紐,但每步選擇B中的所有組合中的一種。當(dāng)啟動(dòng)時(shí),時(shí)間序列控制器按已選的B類子狀態(tài)順序執(zhí)行。過程啟動(dòng)由啟動(dòng)/暫停鍵控制(暫停鍵在過程啟動(dòng)后任意時(shí)間可暫停/恢復(fù)過程)過程啟動(dòng)后機(jī)蓋開啟應(yīng)均能暫停過程,復(fù)蓋間停30秒后重新繼續(xù)原過程。A:強(qiáng)洗 標(biāo)準(zhǔn) 弱洗B:洗滌 漂洗 甩干 (洗滌,漂洗時(shí)電機(jī)分別正轉(zhuǎn)、反轉(zhuǎn))強(qiáng)洗:(共36分鐘) 洗滌 18分漂洗 14分甩干 4分(洗滌時(shí)電機(jī)分別正轉(zhuǎn)4分、反轉(zhuǎn)4分,正反轉(zhuǎn)間停30秒;漂洗時(shí)電機(jī)分別正轉(zhuǎn)3分、反轉(zhuǎn)3分,正反轉(zhuǎn)間停30秒;甩干時(shí)電機(jī)分別正轉(zhuǎn)1.5分,間停30秒)標(biāo)準(zhǔn):(共26分鐘) 洗滌 14分漂洗 8 分甩干 4分(洗滌時(shí)電機(jī)分別正轉(zhuǎn)3分、反轉(zhuǎn)3分,正反轉(zhuǎn)間停30秒;漂洗時(shí)電機(jī)分別正轉(zhuǎn)1.5分、反轉(zhuǎn)1.5分,正反轉(zhuǎn)間停30秒;甩干時(shí)電機(jī)分別正轉(zhuǎn)1.5分,間停30秒)弱洗(共20分鐘) 洗滌 10分漂洗 6分甩干 4分(洗滌時(shí)電機(jī)分別正轉(zhuǎn)2分、反轉(zhuǎn)2分,正反轉(zhuǎn)間停30秒;漂洗時(shí)電機(jī)分別正轉(zhuǎn)1分、反轉(zhuǎn)1分,正反轉(zhuǎn)間停30秒;甩干時(shí)電機(jī)分別正轉(zhuǎn)1.5分,間停30秒)設(shè)定秒脈沖已給定,指示為L(zhǎng)ED,整過程完成后,蜂鳴器響30秒。整個(gè)設(shè)計(jì)為正邏輯。一、程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY student IS PORT(COUNT_N,COUNT_M,START,COOK,CLK:IN STD_LOGIC; LOOK:OUT STD_LOGIC; DOUT :OUT STD_LOGIC_VECTOR(1 DOWNTO 0);END STUDENT;ARCHITECTURE BEHAV OF student ISSIGNAL DT1,DT2:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL DICSOUNT,TEM:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL DCP:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CT:STD_LOGIC_VECTOR(5 DOWNTO 0);SIGNAL CT1,CT2:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL SG,CMKS:STD_LOGIC;BEGIN PROCESS(COUNT_N,SG) BEGINIF SG='1' THENDT1<="00"ELSIF COUNT_N'EVENT AND COUNT_N='1' THENIF DT1=3 THENDT1<="01"ELSEDT1<=DT1+1;END IF;END IF;END PROCESS;PROCESS(COUNT_M,SG)BEGINIF SG='1' THENDT2<="00"ELSIF COUNT_M'EVENT AND COUNT_M='1' THENIF DT2=3 THENDT2<="01"ELSEDT2<=DT2+1;END IF;END IF;END PROCESS; PROCESS(START)BEGINIF SG='1' THENCMKS<='0'ELSIF START'EVENT AND START='1' THEN DICSOUNT<=DT1&DT2;CMKS<=CMKS XOR '1'END IF;END PROCESS; PROCESS(CLK,START,COOK)BEGINIF START='1' AND DCP="0000" THENDCP<=DICSOUNT;ELSIF CLK'EVENT AND CLK='1' THENIF COOK='1' THENDOUT<="00"ELSIF START='1' AND DCP>"0000" THENDOUT<="00"ELSIF SG='1' THENIF CT1<"0001" THENCT1<="0000"SG<='0'END IF;ELSIF CMKS='1' THENCASE DCP ISWHEN "0101"=>IF CT<35 THENCT<=CT+1;IF CT1<8 THENDOUT<="01"CT1<=CT1+1;ELSIF CT1=8 THENDOUT<="00"CT1<=CT1+1;ELSIF CT2<8 THENDOUT<="10"CT2<=CT2+1;ELSIF CT2=8 THENDOUT<="00"CT1<="0000"CT2<="0000"END IF;ELSEDCP<="0110"CT<="000000"CT1<="0000"CT2<="0000"DOUT<="00"END IF;WHEN "0110"=>IF CT<27 THENCT<=CT+1;IF CT1<6 THENDOUT<="01"CT1<=CT1+1;ELSIF CT1=6 THENDOUT<="00"CT1<=CT1+1;ELSIF CT2<6 THENDOUT<="10"CT2<=CT2+1;ELSIF CT2=6 THENDOUT<="00"CT1<="0000"CT2<="0000"END IF;ELSEDCP<="0111"CT<="000000"CT1<="0000"CT2<="0000"DOUT<="00"END IF;WHEN "0111"=>IF CT<8 THENCT<=CT+1;IF CT1<3 THENDOUT<="01"CT1<=CT1+1;ELSIF CT1=3 THENDOUT<="00"CT1<=CT1+1;ELSIF CT2<3 THENDOUT<="10"CT2<=CT2+1;ELSIF CT2=3 THENDOUT<="00"CT1<="0000"CT2<="0000"END IF;ELSEDCP<="0000"CT<="000000"CT1<="0000"CT2<="0000"SG<='1'END IF;WHEN "1001"=>IF CT<27 THENCT<=CT+1;IF CT1<6 THENDOUT<="01"CT1<=CT1+1;ELSIF CT1=6 THENDOUT<="00"CT1<=CT1+1;ELSIF CT2<6 THENDOUT<="10"CT2<=CT2+1;ELSIF CT2=6 THENDOUT<="00"CT1<="0000"CT2<="0000"END IF;ELSEDCP<="1010"CT<="000000"CT1<="0000"CT2<="0000"DOUT<="00"END IF;WHEN "1010"=>IF CT<15 THENCT<=CT+1;IF CT1<3 THENDOUT<="01"CT1<=CT1+1;ELSIF CT1=3 THENDOUT<="00"CT1<=CT1+1;ELSIF CT2<3 THENDOUT<="10"CT2<=CT2+1;ELSIF CT2=3 THENDOUT<="00"CT1<="0000"CT2<="0000"END IF;ELSEDCP<="1011"CT<="000000"CT1<="0000"CT2<="0000"DOUT<="00"END IF;WHEN "1011"=>IF CT<8 THENCT<=CT+1;IF CT1<3 THENDOUT<="01"CT1<=CT1+1;ELSIF CT1=3 THENDOUT<="00"CT1<=CT1+1;ELSIF CT2<3 THENDOUT<="10"CT2<=CT2+1;ELSIF CT2=3 THENDOUT<="00"CT1<="0000"CT2<="0000"END IF;ELSEDCP<="0000"CT<="000000"CT1<="0000"CT2<="0000"SG<='1'END IF;WHEN "1101"=>IF CT<19 THENCT<=CT+1;IF CT1<4 THENDOUT<="01"CT1<=CT1+1;ELSIF CT1=4 THENDOUT<="00"CT1<=CT1+1;ELSIF CT2<4 THENDOUT<="10"CT2<=CT2+1;ELSIF CT2=4 THENDOUT<="00"CT1<="0000"CT2<="0000"END IF;ELSEDCP<="1110"CT<="000000"CT1<="0000"CT2<="0000"DOUT<="00"END IF;WHEN "1110"=>IF CT<11 THENCT<=CT+1;IF CT1<2 THENDOUT<="01"CT1<=CT1+1;ELSIF CT1=2 THENDOUT<="00"CT1<=CT1+1;ELSIF CT2<2 THENDOUT<="10"CT2<=CT2+1;ELSIF CT2=2 THENDOUT<="00"CT1<="0000"CT2<="0000"END IF;ELSEDCP<="1111"CT<="000000"CT1<="0000"CT2<="0000"DOUT<="00"END IF;WHEN "1111"=>IF CT<8 THENCT<=CT+1;IF CT1<3 THENDOUT<="01"CT1<=CT1+1;ELSIF CT1=3 THENDOUT<="00"CT1<=CT1+1;ELSIF CT2<3 THENDOUT<="10"CT2<=CT2+1;ELSIF CT2=3 THENDOUT<="00"CT1<="0000"CT2<="0000"END IF;ELSEDCP<="0000"CT<="000000"CT1<="0000"CT2<="0000"SG<='1'END IF;WHEN OTHERS=>DOUT<="00"END CASE;END IF;END IF;END PROCESS;LOOK<=SG; END BEHAV;二、仿真波形如下強(qiáng)洗全部過程強(qiáng)洗 漂洗、甩干強(qiáng)洗(甩干)標(biāo)準(zhǔn)全部過程標(biāo)準(zhǔn)(漂洗、甩干)標(biāo)準(zhǔn)(甩干)弱洗全過程弱洗(漂洗、甩干)九、弱洗(甩干)強(qiáng)開蓋子:一、強(qiáng)洗強(qiáng)開蓋一、 標(biāo)準(zhǔn)強(qiáng)開蓋二、 弱洗強(qiáng)開蓋暫停和啟動(dòng)一、 強(qiáng)洗暫停二、 強(qiáng)洗重新啟動(dòng)三、 標(biāo)準(zhǔn)暫停四、 標(biāo)準(zhǔn)重新啟動(dòng)五、 弱洗暫停六、 弱洗重新啟動(dòng)兩次洗衣一、 兩次強(qiáng)洗兩次標(biāo)準(zhǔn)(漂洗、甩干)二、 兩次弱甩干三、設(shè)計(jì)思想討論設(shè)計(jì)時(shí)參考了已有程序,此芯片有五個(gè)輸入和三個(gè)輸出,輸入COUNT_M和輸入COUNT_N是狀態(tài)控制鍵,輸入START是輸入啟動(dòng)和暫停鍵,以及一個(gè)時(shí)鐘CLK。輸出是洗衣結(jié)束鈴聲端口和電機(jī)驅(qū)動(dòng)端口。在設(shè)計(jì)中,為便于調(diào)試和觀察將時(shí)鐘周期假設(shè)為30S。本次設(shè)計(jì)大致可以分成兩個(gè)模塊來看待,控制端和工作端??刂撇糠质褂昧巳齻€(gè)進(jìn)程來處理,進(jìn)程一控制狀態(tài)COUNT_M,進(jìn)程二控制狀態(tài)COUNT_N,進(jìn)程三控制開始和暫停。工作部分使用了一個(gè)進(jìn)程來處理,在該進(jìn)程中主要處理強(qiáng)制開蓋,洗衣的各個(gè)狀態(tài),以及洗衣結(jié)束后的鈴聲??刂贫薈OUNT_M中,SG是工作結(jié)束標(biāo)志信號(hào),信號(hào)TS控制洗衣機(jī)的暫停和重新啟動(dòng),DT是狀態(tài)的中間變量。COOKK為強(qiáng)開洗衣機(jī)蓋子信號(hào)端,DCP輸入狀態(tài)中間信號(hào)。洗衣機(jī)正常工作過程:洗衣機(jī)總共有九種工作狀態(tài),這九種狀態(tài)可以分成三大部分,強(qiáng)洗,標(biāo)準(zhǔn),弱洗,此三種狀態(tài)的洗衣過程類似,因此以強(qiáng)洗來解釋說明。洗滌完成后,將信號(hào)TP變換到漂洗狀態(tài),然后變換到甩干,甩干結(jié)束后將TP置零,以及輸出狀態(tài)置一。然后等待下次洗滌。CLK主分頻器洗滌定時(shí)器水流控制器正反向控制主分頻器四、心得體會(huì)這次EDA對(duì)我來說是一項(xiàng)很大的挑戰(zhàn),看到題目后幾乎沒有思路,翻閱教材,看了下自動(dòng)洗衣機(jī)那張的講解,有了眉目,但是設(shè)計(jì)的內(nèi)容過于龐雜,很難下手。這時(shí)候,我選擇從已有的程序著手,先看懂,然后和同學(xué)討論思路,最后形成了這個(gè)作業(yè)。做仿真波形的時(shí)候,就是驗(yàn)證結(jié)論的時(shí)候,過程還算順利。通過這次作業(yè),我覺得許多困難的事,需要借鑒已有的經(jīng)驗(yàn),請(qǐng)查閱資料,和同學(xué)交流討論,就基本可以完成。

注意事項(xiàng)

本文(EDA 設(shè)計(jì)基于VHDL的簡(jiǎn)易全自動(dòng)控制洗衣機(jī))為本站會(huì)員(無***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!